Self-adjusting binary search trees
Journal of the ACM (JACM)
ACM Transactions on Programming Languages and Systems (TOPLAS)
Distributed discrete-event simulation
ACM Computing Surveys (CSUR)
Performance evaluation of the time warp distributed simulation mechanism
Performance evaluation of the time warp distributed simulation mechanism
Pseudosimulation: an algorithm for distributed similation with limited memory
International Journal of Parallel Programming
SOSP '87 Proceedings of the eleventh ACM Symposium on Operating systems principles
Parallel Discrete Event Simulation Using Shared Memory
IEEE Transactions on Software Engineering
Design and performance of special purpose hardware for time warp
ISCA '88 Proceedings of the 15th Annual International Symposium on Computer architecture
Parallel program design: a foundation
Parallel program design: a foundation
Parallel discrete-event simulation of FCFS stochastic queueing networks
PPEALS '88 Proceedings of the ACM/SIGPLAN conference on Parallel programming: experience with applications, languages and systems
Efficient distributed event-driven simulations of multiple-loop networks
Communications of the ACM
A Hierarchical Computer Architecture for Distributed Simulation
IEEE Transactions on Computers
SPAA '89 Proceedings of the first annual ACM symposium on Parallel algorithms and architectures
Memory coherence in shared virtual memory systems
ACM Transactions on Computer Systems (TOCS)
Parallel simulation of queueing networks: limitations and potentials
SIGMETRICS '89 Proceedings of the 1989 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Rollback sometimes works...if filtered
WSC '89 Proceedings of the 21st conference on Winter simulation
On analyzing events to estimate the possible speedup of parallel discrete event simulation
WSC '89 Proceedings of the 21st conference on Winter simulation
Experience with concurrent simulation
WSC '89 Proceedings of the 21st conference on Winter simulation
Limitation of optimism in the time warp operating system
WSC '89 Proceedings of the 21st conference on Winter simulation
Reducing Null Messages in Misra's Distributed Discrete Event Simulation Method
IEEE Transactions on Software Engineering
Time warp on a shared memory multiprocessor
Transactions of the Society for Computer Simulation International
Virtual time II: storage management in conservative and optimistic systems
PODC '90 Proceedings of the ninth annual ACM symposium on Principles of distributed computing
Statistical considerations in simulation on a network of microcomputers
WSC '85 Proceedings of the 17th conference on Winter simulation
Two approaches to the implementation of a distributed simulation system
WSC '85 Proceedings of the 17th conference on Winter simulation
Efficient distributed simulation
ANSS '89 Proceedings of the 22nd annual symposium on Simulation
The object library for parallel simulation (OLPS)
WSC '88 Proceedings of the 20th conference on Winter simulation
Wolf: a rollback algorithm for optimistic distributed simulation systems
WSC '88 Proceedings of the 20th conference on Winter simulation
A spectrum of options for parallel simulation
WSC '88 Proceedings of the 20th conference on Winter simulation
Automatic development of parallel simulation models in ADA
WSC '88 Proceedings of the 20th conference on Winter simulation
Statistical analysis of parallel simulations
WSC '86 Proceedings of the 18th conference on Winter simulation
Concurrent simulation: an alternative to distributed simulation
WSC '86 Proceedings of the 18th conference on Winter simulation
Simultaneous events and distributed simulation
WSC' 90 Proceedings of the 22nd conference on Winter simulation
Asynchronous distributed simulation via a sequence of parallel computations
Communications of the ACM - Special issue on simulation modeling and statistical computing
Analysis of future event set algorithms for discrete event simulation
Communications of the ACM
Performance Analysis of a Rollback Method for Distributed Simulation
Performance '83 Proceedings of the 9th International Symposium on Computer Performance Modelling, Measurement and Evaluation
Analysis and Optimum Performance of Two Message-Passing Parallel Processors Synchronized by Rollback
Performance '84 Proceedings of the Tenth International Symposium on Computer Performance Modelling, Measurement and Evaluation
A shared resource algorithm for distributed simulation
ISCA '82 Proceedings of the 9th annual symposium on Computer Architecture
Problem oriented protocol design
WSC '84 Proceedings of the 16th conference on Winter simulation
SIMULATION OF PACKET COMMUNICATION ARCHITECTURE COMPUTER SYSTEMS
SIMULATION OF PACKET COMMUNICATION ARCHITECTURE COMPUTER SYSTEMS
Distributed simulation, algorithms and performance analysis (load balancing, distributed processing)
Distributed simulation, algorithms and performance analysis (load balancing, distributed processing)
Analysis of parallel replicated simulations under a completion time constraint
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Performance analysis of Time Warp with homogeneous processors and exponential task times
SIGMETRICS '91 Proceedings of the 1991 ACM SIGMETRICS conference on Measurement and modeling of computer systems
An analysis of rollback-based simulation
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Debuggable concurrency extensions for standard ML
PADD '91 Proceedings of the 1991 ACM/ONR workshop on Parallel and distributed debugging
Optimal memory management for time warp parallel simulation
ACM Transactions on Modeling and Computer Simulation (TOMACS) - Special issue on parallel and distributed systems performance
Bounds and approximations for self-initiating distributed simulation without lookahead
ACM Transactions on Modeling and Computer Simulation (TOMACS) - Special issue on parallel and distributed systems performance
Performance interpolation for computer simulation models: a simulation-based approach
CSC '92 Proceedings of the 1992 ACM annual conference on Communications
Synchronization mechanisms for distributed event-driven computation
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Performance analysis of “Time Warp” with limited memory
SIGMETRICS '92/PERFORMANCE '92 Proceedings of the 1992 ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems
Detecting Unsafe Error Recovery Schedules
IEEE Transactions on Software Engineering
Design and Evaluation of the Rollback Chip: Special Purpose Hardware for Time Warp
IEEE Transactions on Computers
A modification of the process interaction world view
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Asynchronous algorithms for the parallel simulation of event-driven dynamical systems
ACM Transactions on Modeling and Computer Simulation (TOMACS)
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Parallelism analyzers for parallel discrete event simulation
ACM Transactions on Modeling and Computer Simulation (TOMACS)
ACM Transactions on Computer Systems (TOCS)
The cost of conservative synchronization in parallel discrete event simulations
Journal of the ACM (JACM)
Parallel algorithms for simulating continuous time Markov chains
PADS '93 Proceedings of the seventh workshop on Parallel and distributed simulation
An algorithm for minimally latent global virtual time
PADS '93 Proceedings of the seventh workshop on Parallel and distributed simulation
On a parallel partitioning technique for use with conservative parallel simulation
PADS '93 Proceedings of the seventh workshop on Parallel and distributed simulation
PADS '93 Proceedings of the seventh workshop on Parallel and distributed simulation
Shared variables in distributed simulation
PADS '93 Proceedings of the seventh workshop on Parallel and distributed simulation
High performance parallel logic simulations on a network of workstations
PADS '93 Proceedings of the seventh workshop on Parallel and distributed simulation
How using busses in multicomputer programs affects conservative parallel simulation
PADS '93 Proceedings of the seventh workshop on Parallel and distributed simulation
Efficient implementation of event sets in Time Warp
PADS '93 Proceedings of the seventh workshop on Parallel and distributed simulation
The local Time Warp approach to parallel simulation
PADS '93 Proceedings of the seventh workshop on Parallel and distributed simulation
An analytical comparison of periodic checkpointing and incremental state saving
PADS '93 Proceedings of the seventh workshop on Parallel and distributed simulation
Parallel simulation of communicating finite state machines
PADS '93 Proceedings of the seventh workshop on Parallel and distributed simulation
Performance of a riskfree Time Warp operating system
PADS '93 Proceedings of the seventh workshop on Parallel and distributed simulation
PADS '93 Proceedings of the seventh workshop on Parallel and distributed simulation
Time Warp simulation in time constrained systems
PADS '93 Proceedings of the seventh workshop on Parallel and distributed simulation
Synchronous relaxation for parallel simulations with applications to circuit-switched networks
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Experience in massively parallel discrete event simulation
SPAA '93 Proceedings of the fifth annual ACM symposium on Parallel algorithms and architectures
The Wisconsin Wind Tunnel: virtual prototyping of parallel computers
SIGMETRICS '93 Proceedings of the 1993 ACM SIGMETRICS conference on Measurement and modeling of computer systems
Parallel simulation of Markovian queueing networks using adaptive uniformization
SIGMETRICS '93 Proceedings of the 1993 ACM SIGMETRICS conference on Measurement and modeling of computer systems
Perturbation analysis: concepts and algorithms
WSC '92 Proceedings of the 24th conference on Winter simulation
State of the art in parallel simulation
WSC '92 Proceedings of the 24th conference on Winter simulation
The telecom framework: a simulation environment for telecommunications modeling
WSC '92 Proceedings of the 24th conference on Winter simulation
Transparent optimizations of overheads in optimistic simulations
WSC '92 Proceedings of the 24th conference on Winter simulation
Replicated objects in time warp simulations
WSC '92 Proceedings of the 24th conference on Winter simulation
Approximate time-parallel simulation of queueing systems with losses
WSC '92 Proceedings of the 24th conference on Winter simulation
A parallel simulator for performance modelling of broadband telecommunication networks
WSC '92 Proceedings of the 24th conference on Winter simulation
WSC '92 Proceedings of the 24th conference on Winter simulation
Developing parallel applications using high-performance simulation
PADD '93 Proceedings of the 1993 ACM/ONR workshop on Parallel and distributed debugging
A unified framework for conservative and optimistic distributed simulation
PADS '94 Proceedings of the eighth workshop on Parallel and distributed simulation
Discrete-event simulation and the event horizon
PADS '94 Proceedings of the eighth workshop on Parallel and distributed simulation
A generalized carrier-null method for conservative parallel simulation
PADS '94 Proceedings of the eighth workshop on Parallel and distributed simulation
Stability of event synchronisation in distributed discrete event simulation
PADS '94 Proceedings of the eighth workshop on Parallel and distributed simulation
Parallel independent replicated simulation on a network of workstations
PADS '94 Proceedings of the eighth workshop on Parallel and distributed simulation
Parallel discrete event simulation: a modeling methodological perspective
PADS '94 Proceedings of the eighth workshop on Parallel and distributed simulation
Cost of state saving & rollback
PADS '94 Proceedings of the eighth workshop on Parallel and distributed simulation
Parallel simulation of a high speed LAN
PADS '94 Proceedings of the eighth workshop on Parallel and distributed simulation
An evaluation system for distributed-time VHDL simulation
PADS '94 Proceedings of the eighth workshop on Parallel and distributed simulation
Maya: a simulation platform for distributed shared memories
PADS '94 Proceedings of the eighth workshop on Parallel and distributed simulation
A static partitioning and mapping algorithm for conservative parallel simulations
PADS '94 Proceedings of the eighth workshop on Parallel and distributed simulation
Cost/performance of a parallel computer simulator
PADS '94 Proceedings of the eighth workshop on Parallel and distributed simulation
An adaptive memory management protocol for Time Warp parallel simulation
SIGMETRICS '94 Proceedings of the 1994 ACM SIGMETRICS conference on Measurement and modeling of computer systems
Time-parallel simulation of cascaded statistical multiplexers
SIGMETRICS '94 Proceedings of the 1994 ACM SIGMETRICS conference on Measurement and modeling of computer systems
Parallel logic simulation of VLSI systems
ACM Computing Surveys (CSUR)
Real time groupware as a distributed system: concurrency control and its effect on the interface
CSCW '94 Proceedings of the 1994 ACM conference on Computer supported cooperative work
Deadlock detection and resolution in simulation models
WSC '94 Proceedings of the 26th conference on Winter simulation
Parallel DEVS: a parallel, hierarchical, modular, modeling formalism
WSC '94 Proceedings of the 26th conference on Winter simulation
Distributed stochastic discrete-event simulation in parallel time streams
WSC '94 Proceedings of the 26th conference on Winter simulation
MasPaWS—a massively parallel war simulator
WSC '94 Proceedings of the 26th conference on Winter simulation
Distributed simulation model for computer integrated manufacturing
WSC '94 Proceedings of the 26th conference on Winter simulation
Distributed parallel object-oriented environment for traffic simulation (POETS)
WSC '94 Proceedings of the 26th conference on Winter simulation
Simulation of heterogeneous networks
WSC '94 Proceedings of the 26th conference on Winter simulation
Synchronizing simulations in distributed interactive simulation
WSC '94 Proceedings of the 26th conference on Winter simulation
Language support for parallel discrete-event simulations
WSC '94 Proceedings of the 26th conference on Winter simulation
Service oriented scheduling in Time Warp
WSC '94 Proceedings of the 26th conference on Winter simulation
Using a shot clock to design an efficient parallel distributed simulation
WSC '94 Proceedings of the 26th conference on Winter simulation
Empirical measurements of overheads in conservative asynchronous simulations
ACM Transactions on Modeling and Computer Simulation (TOMACS)
A Parallelism Analyzer for Conservative Parallel Simulation
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
The treatment of state in optimistic systems
PADS '95 Proceedings of the ninth workshop on Parallel and distributed simulation
Comparative analysis of periodic state saving techniques in time warp simulators
PADS '95 Proceedings of the ninth workshop on Parallel and distributed simulation
SGTNE: semi-global time of the next event algorithm
PADS '95 Proceedings of the ninth workshop on Parallel and distributed simulation
A case study in simulating PCS networks using Time Warp
PADS '95 Proceedings of the ninth workshop on Parallel and distributed simulation
PADS '95 Proceedings of the ninth workshop on Parallel and distributed simulation
Clustered time warp and logic simulation
PADS '95 Proceedings of the ninth workshop on Parallel and distributed simulation
Probabilistic adaptive direct optimism control in Time Warp
PADS '95 Proceedings of the ninth workshop on Parallel and distributed simulation
PADS '95 Proceedings of the ninth workshop on Parallel and distributed simulation
Global Virtual Time and distributed synchronization
PADS '95 Proceedings of the ninth workshop on Parallel and distributed simulation
Parallel gate-level circuit simulation on shared memory architectures
PADS '95 Proceedings of the ninth workshop on Parallel and distributed simulation
XTracker, a graphical tool for parallel simulations
PADS '95 Proceedings of the ninth workshop on Parallel and distributed simulation
A fast asynchronous GVT algorithm for shared memory multiprocessor architectures
PADS '95 Proceedings of the ninth workshop on Parallel and distributed simulation
Parallel logic simulation of VLSI systems
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
The parallel-event approach to discrete-event simulation
ACM SIGSIM Simulation Digest
Run-time parallelization of sequential database programs
CIKM '95 Proceedings of the fourth international conference on Information and knowledge management
Parallel and distributed simulation
WSC '95 Proceedings of the 27th conference on Winter simulation
Simulating population dependent PCS network models using time warp
WSC '95 Proceedings of the 27th conference on Winter simulation
CPSim: a tool for creating scalable discrete event simulations
WSC '95 Proceedings of the 27th conference on Winter simulation
A comparison of two methods for advancing time in parallel discrete event simulation
WSC '95 Proceedings of the 27th conference on Winter simulation
NPSI adaptive synchronization algorithms for PDES
WSC '95 Proceedings of the 27th conference on Winter simulation
Adaptive algorithms vs. Time Warp: an analytical comparison
WSC '95 Proceedings of the 27th conference on Winter simulation
Processor self-scheduling in parallel discrete event simulation
WSC '95 Proceedings of the 27th conference on Winter simulation
ParaSol: a multithreaded system for parallel simulation based on mobile threads
WSC '95 Proceedings of the 27th conference on Winter simulation
DISplay: a system for visual-interaction in distributed simulations
WSC '95 Proceedings of the 27th conference on Winter simulation
SimKit: a high performance logical process simulation class library in C++
WSC '95 Proceedings of the 27th conference on Winter simulation
A high fidelity ATM traffic and network simulator
WSC '95 Proceedings of the 27th conference on Winter simulation
A distributed simulation model of air traffic in the national airspace system
WSC '95 Proceedings of the 27th conference on Winter simulation
Infrastructure for rapid execution of strike-planning systems
WSC '95 Proceedings of the 27th conference on Winter simulation
An overview of hierarchical control flow graph models
WSC '95 Proceedings of the 27th conference on Winter simulation
Time-segmentation parallel simulation of networks of queues with loss or communication blocking
ACM Transactions on Modeling and Computer Simulation (TOMACS)
A comparative study of parallel algorithms for simulating continuous time Markov chains
ACM Transactions on Modeling and Computer Simulation (TOMACS)
How to integrate shared variables in distributed simulation
ACM SIGSIM Simulation Digest
Parallel execution for serial simulators
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Parallel neighbourhood modeling: research summary
Proceedings of the eighth annual ACM symposium on Parallel algorithms and architectures
The dynamic load balancing of clustered time warp for logic simulation
PADS '96 Proceedings of the tenth workshop on Parallel and distributed simulation
Conservative parallel simulation of ATM networks
PADS '96 Proceedings of the tenth workshop on Parallel and distributed simulation
Massively parallel simulations of ATM systems
PADS '96 Proceedings of the tenth workshop on Parallel and distributed simulation
Parallel simulation of a high-speed wormhole routing network
PADS '96 Proceedings of the tenth workshop on Parallel and distributed simulation
Transparent incremental state saving in time warp parallel discrete event simulation
PADS '96 Proceedings of the tenth workshop on Parallel and distributed simulation
Reducing synchronization overhead in parallel simulation
PADS '96 Proceedings of the tenth workshop on Parallel and distributed simulation
Conservative circuit simulation on shared-memory multiprocessors
PADS '96 Proceedings of the tenth workshop on Parallel and distributed simulation
Optimistic simulation of parallel architectures using program executables
PADS '96 Proceedings of the tenth workshop on Parallel and distributed simulation
Design of high level modelling / high performance simulation environments
PADS '96 Proceedings of the tenth workshop on Parallel and distributed simulation
Queueing models and stability of message flows in distributed simulators of open queueing networks
PADS '96 Proceedings of the tenth workshop on Parallel and distributed simulation
A performance evaluation methodology for parallel simulation protocols
PADS '96 Proceedings of the tenth workshop on Parallel and distributed simulation
Symphony: a simulation backplane for parallel mixed-mode co-simulation of VLSI systems
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Prototyping a parallel discrete event simulation system in Ada
Proceedings of the conference on TRI-Ada '96: disciplined software development with Ada
Analysis of bounded time warp and comparison with YAWNS
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Packet network simulation: speedup and accuracy versus timing granularity
IEEE/ACM Transactions on Networking (TON)
Parallelized Direct Execution Simulation of Message-Passing Parallel Programs
IEEE Transactions on Parallel and Distributed Systems
Modeling cost/performance of a parallel computer simulator
ACM Transactions on Modeling and Computer Simulation (TOMACS)
A reconfigurable hardware approach to network simulation
ACM Transactions on Modeling and Computer Simulation (TOMACS)
A comparative study of parallel and sequential priority queue algorithms
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Instability and performance limits of distributed simulators of feedforward queueing networks
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Adaptive memory management and optimism control in time warp
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Perils and pitfalls of parallel discrete-event simulation
WSC '96 Proceedings of the 28th conference on Winter simulation
Parallel and distributed discrete event simulation: algorithms and applications
WSC '93 Proceedings of the 25th conference on Winter simulation
Adaptive protocols for parallel discrete event simulation
WSC '96 Proceedings of the 28th conference on Winter simulation
Parallel replicated simulation of Markov chains: implementation and variance reduction
WSC '93 Proceedings of the 25th conference on Winter simulation
DEVS formalism and methodology: unity of conception/diversity of application
WSC '93 Proceedings of the 25th conference on Winter simulation
Performance comparison of high-level algebraic nets distributed simulation protocols
WSC '96 Proceedings of the 28th conference on Winter simulation
Logical process size in parallel simulations
WSC '96 Proceedings of the 28th conference on Winter simulation
Event sensitive state saving in time warp parallel discrete event simulations
WSC '96 Proceedings of the 28th conference on Winter simulation
Language based state saving extensions for optimistic parallel simulation
WSC '96 Proceedings of the 28th conference on Winter simulation
Transparent implementation of conservative algorithms in parallel simulation languages
WSC '93 Proceedings of the 25th conference on Winter simulation
A parallel GPSS based on the ParaSol simulation system
WSC '96 Proceedings of the 28th conference on Winter simulation
Incremental state saving in SPEEDES using C++
WSC '93 Proceedings of the 25th conference on Winter simulation
Automatic parallelization of discrete event simulation programs
WSC '93 Proceedings of the 25th conference on Winter simulation
Exploiting lookahead in synchronous parallel simulation
WSC '93 Proceedings of the 25th conference on Winter simulation
Non-interfering GVT computation via asynchronous global reductions
WSC '93 Proceedings of the 25th conference on Winter simulation
An external state management system for optimistic parallel simulation
WSC '93 Proceedings of the 25th conference on Winter simulation
Simulating Lyme disease using parallel discrete event simulation
WSC '96 Proceedings of the 28th conference on Winter simulation
Parallel neighbourhood modelling
GIS '96 Proceedings of the 4th ACM international workshop on Advances in geographic information systems
Temporal notions of synchronization and consistency in Beehive
Proceedings of the ninth annual ACM symposium on Parallel algorithms and architectures
Computing global virtual time in shared-memory multiprocessors
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Proceedings of the 29th conference on Winter simulation
The Department of Defense High Level Architecture
Proceedings of the 29th conference on Winter simulation
Minimum cost adaptive synchronization: experiments with the ParaSol system
Proceedings of the 29th conference on Winter simulation
A framework for performance analysis of parallel discrete event simulators
Proceedings of the 29th conference on Winter simulation
Cloning: a novel method for interactive parallel simulation
Proceedings of the 29th conference on Winter simulation
Checkpoint and recovery methods in the ParaSol simulation system
Proceedings of the 29th conference on Winter simulation
A framework for distributed object-oriented multimodeling and simulation
Proceedings of the 29th conference on Winter simulation
A distributed numerical/simulative algorithm for the analysis of large continuous time Markov chains
Proceedings of the eleventh workshop on Parallel and distributed simulation
Dynamic load balancing strategies for conservative parallel simulations
Proceedings of the eleventh workshop on Parallel and distributed simulation
SQTW: a mechanism for state-dependent parallel simulation. Description and experimental study
Proceedings of the eleventh workshop on Parallel and distributed simulation
Optimistic distributed simulation based on transitive dependency tracking
Proceedings of the eleventh workshop on Parallel and distributed simulation
Timestamp representations for virtual sequences
Proceedings of the eleventh workshop on Parallel and distributed simulation
Adaptive flow control in time warp
Proceedings of the eleventh workshop on Parallel and distributed simulation
Tolerant synchronization for distributed simulations of interconnected computer networks
Proceedings of the eleventh workshop on Parallel and distributed simulation
Generalizing lookahead—behavioral prediction in distributed simulation
PADS '98 Proceedings of the twelfth workshop on Parallel and distributed simulation
PADS '98 Proceedings of the twelfth workshop on Parallel and distributed simulation
Dynamic load balancing in parallel discrete event simulation for spatially explicit problems
PADS '98 Proceedings of the twelfth workshop on Parallel and distributed simulation
A probabilistic event scheduling policy for optimistic parallel discrete event simulation
PADS '98 Proceedings of the twelfth workshop on Parallel and distributed simulation
Optimizing communication in time-warp simulators
PADS '98 Proceedings of the twelfth workshop on Parallel and distributed simulation
Event history based sparse state saving in time warp
PADS '98 Proceedings of the twelfth workshop on Parallel and distributed simulation
A methodology for automating the parallelization of manufacturing simulations
PADS '98 Proceedings of the twelfth workshop on Parallel and distributed simulation
Conservative simulation of load-balanced routing in a large ATM network model
PADS '98 Proceedings of the twelfth workshop on Parallel and distributed simulation
GloMoSim: a library for parallel simulation of large-scale wireless networks
PADS '98 Proceedings of the twelfth workshop on Parallel and distributed simulation
ACM Transactions on Modeling and Computer Simulation (TOMACS) - Special issue on modeling and analysis of stochastic systems
MPI-SIM: using parallel simulation to evaluate MPI programs
Proceedings of the 30th conference on Winter simulation
Combining optimism limiting schemes in time warp based parallel simulations
Proceedings of the 30th conference on Winter simulation
SEAMS: simulation environment for VHDL-AMS
Proceedings of the 30th conference on Winter simulation
Proceedings of the 30th conference on Winter simulation
Essential techniques for military modeling and simulation
Proceedings of the 30th conference on Winter simulation
Applying temporal databases to HLA data collection and analysis
Proceedings of the 30th conference on Winter simulation
Parallel simulation for aviation applications
Proceedings of the 30th conference on Winter simulation
Evaluation of a prototype visualization for distributed simulations
Proceedings of the 30th conference on Winter simulation
Internet-based simulation using off-the-shelf simulation tools and HLA
Proceedings of the 30th conference on Winter simulation
Unsynchronized parallel discrete event simulation
Proceedings of the 30th conference on Winter simulation
Implementations of dispatch rules in parallel manufacturing simulation
Proceedings of the 30th conference on Winter simulation
Architecture for a non-deterministic simulation machine
Proceedings of the 30th conference on Winter simulation
Minimum cost adaptive synchronization: experiments with the ParaSol system
ACM Transactions on Modeling and Computer Simulation (TOMACS) - Special issue on Web-based modeling and simulation
Experiences in verifying parallel simulation algorithms
FMSP '98 Proceedings of the second workshop on Formal methods in software practice
Multicast snooping: a new coherence method using a multicast address network
ISCA '99 Proceedings of the 26th annual international symposium on Computer architecture
Path lookahead: a data flow view of PDES models
PADS '99 Proceedings of the thirteenth workshop on Parallel and distributed simulation
Scheduling critical channels in conservative parallel discrete event simulation
PADS '99 Proceedings of the thirteenth workshop on Parallel and distributed simulation
Case study: parallelizing a sequential simulation model
PADS '99 Proceedings of the thirteenth workshop on Parallel and distributed simulation
GVT and scheduling in space time memory based techniques
PADS '99 Proceedings of the thirteenth workshop on Parallel and distributed simulation
Experience in retrofiting a large sequential Ada simulator to two versions of Time Warp
PADS '99 Proceedings of the thirteenth workshop on Parallel and distributed simulation
Combining periodic and probabilistic checkpointing in optimistic simulation
PADS '99 Proceedings of the thirteenth workshop on Parallel and distributed simulation
PADS '99 Proceedings of the thirteenth workshop on Parallel and distributed simulation
Optimism: not just for event execution anymore
PADS '99 Proceedings of the thirteenth workshop on Parallel and distributed simulation
Exploiting model independence for parallel PCS network simulation
PADS '99 Proceedings of the thirteenth workshop on Parallel and distributed simulation
Linking simulation model specification and parallel execution through UNITY
WSC '91 Proceedings of the 23rd conference on Winter simulation
An assessment of the ModSim/TWOS parallel simulation environment
WSC '91 Proceedings of the 23rd conference on Winter simulation
Parallel simulation of performance Petri nets: extending the domain of parallel simulation
WSC '91 Proceedings of the 23rd conference on Winter simulation
Parallel simulation of timed Petri-nets
WSC '91 Proceedings of the 23rd conference on Winter simulation
A performance model for parallel simulation
WSC '91 Proceedings of the 23rd conference on Winter simulation
Measuring the overhead in conservative parallel simulations of multicomputer programs
WSC '91 Proceedings of the 23rd conference on Winter simulation
An efficient and scalable parallel algorithm for discrete-event simulation
WSC '91 Proceedings of the 23rd conference on Winter simulation
Filter: an algorithm for reducing cascaded rollbacks in optimistic distributed simulations
ANSS '91 Proceedings of the 24th annual symposium on Simulation
Parallel discrete event simulation on shared-memory multiprocessors
ANSS '91 Proceedings of the 24th annual symposium on Simulation
Potential performance of parallel conservative simulation of VLSI circuits and systems
ANSS '92 Proceedings of the 25th annual symposium on Simulation
An efficient implementation of lazy reevaluation
ANSS '92 Proceedings of the 25th annual symposium on Simulation
SIMA: an environment for parallel discrete-event simulation
ANSS '92 Proceedings of the 25th annual symposium on Simulation
Knowledge-based distributed simulation generator
ANSS '92 Proceedings of the 25th annual symposium on Simulation
Trade-Off between Sequential and Time Warp-Based Parallel Simulation
IEEE Transactions on Parallel and Distributed Systems
Automating parallel simulation using parallel time streams
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Efficient Execution of Time Warp Programs on Heterogeneous, NOW Platforms
IEEE Transactions on Parallel and Distributed Systems
Repeatability in real-time distributed simulation executions
PADS '00 Proceedings of the fourteenth workshop on Parallel and distributed simulation
PADS '00 Proceedings of the fourteenth workshop on Parallel and distributed simulation
Model structure and load balancing in optimistic parallel discrete event simulation
PADS '00 Proceedings of the fourteenth workshop on Parallel and distributed simulation
Grain sensitive event scheduling in time warp parallel discrete event simulation
PADS '00 Proceedings of the fourteenth workshop on Parallel and distributed simulation
ICCAD '99 Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design
Garbage collection of timestamped data in Stampede
Proceedings of the nineteenth annual ACM symposium on Principles of distributed computing
Parallel and distributed VHDL simulation
DATE '00 Proceedings of the conference on Design, automation and test in Europe
Efficient optimistic parallel simulations using reverse computation
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Performance Evaluation of Conservative Algorithms in Parallel Simulation Languages
IEEE Transactions on Parallel and Distributed Systems
Timestamp snooping: an approach for extending SMPs
ACM SIGPLAN Notices
Consistency in replicated continuous interactive media
CSCW '00 Proceedings of the 2000 ACM conference on Computer supported cooperative work
Simultaneous events and lookahead in simulation protocols
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Parallel shared-memory simulator performance for large ATM networks
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Looking ahead of real time in Hybrid component networks
Proceedings of the fifteenth workshop on Parallel and distributed simulation
Lock-free scheduling of logical processes in parallel simulation
Proceedings of the fifteenth workshop on Parallel and distributed simulation
Causality representation and cancellation mechanism in time warp simulations
Proceedings of the fifteenth workshop on Parallel and distributed simulation
A Cost Model for Selecting Checkpoint Positions in Time Warp Parallel Simulation
IEEE Transactions on Parallel and Distributed Systems
Schedulability analysis of real time actor systems using coloured petri nets
Concurrent object-oriented programming and petri nets
Timestamp snooping: an approach for extending SMPs
ASPLOS IX Proceedings of the ninth international conference on Architectural support for programming languages and operating systems
A hybrid technique for accelerated simulation of ATM networks and network elements
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Frontiers for computer science in computational science
ACM-SE 30 Proceedings of the 30th annual Southeast regional conference
Wanted: an application aware checkpointing service
EW 6 Proceedings of the 6th workshop on ACM SIGOPS European workshop: Matching operating systems to application needs
Efficient wireless network simulations with detailed propagation models
Wireless Networks - Special issue: Design and modeling in mobile and wireless systsems
Partitioning WCN models for parallel simulation of radio resource management
Wireless Networks - Special issue: Design and modeling in mobile and wireless systsems
Modeling of mixed control and dataflow system in MASCOT
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Editorial message: special track on applications of spatial simulation of discrete entities
Proceedings of the 2002 ACM symposium on Applied computing
Proceedings of the 2002 ACM symposium on Applied computing
Parallel execution of a sequential network simulator
Proceedings of the 32nd conference on Winter simulation
Models and representation of their ownership
Proceedings of the 32nd conference on Winter simulation
Partitioning parallel simulation of wireless networks
Proceedings of the 32nd conference on Winter simulation
Proceedings of the 32nd conference on Winter simulation
Dynamic component substitution in web-based simulation
Proceedings of the 32nd conference on Winter simulation
A Formal Specification and Verification Framework for Time Warp-Based Parallel Simulation
IEEE Transactions on Software Engineering
Conservative simulation using distributed-shared memory
Proceedings of the sixteenth workshop on Parallel and distributed simulation
Early cancellation: an active NIC optimization for time-warp
Proceedings of the sixteenth workshop on Parallel and distributed simulation
Genesis: a system for large-scale parallel network simulation
Proceedings of the sixteenth workshop on Parallel and distributed simulation
Software control systems for parallel simulation
Proceedings of the sixteenth workshop on Parallel and distributed simulation
Lookback: a new way of exploiting parallelism in discrete event simulation
Proceedings of the sixteenth workshop on Parallel and distributed simulation
Experiment scheduling in faster-than-real-time simulation
Proceedings of the sixteenth workshop on Parallel and distributed simulation
Proceedings of the 33nd conference on Winter simulation
Proceedings of the 33nd conference on Winter simulation
Composite Synchronization in Parallel Discrete-Event Simulation
IEEE Transactions on Parallel and Distributed Systems
Virtual synchronization for fast distributed cosimulation of dataflow task graphs
Proceedings of the 15th international symposium on System Synthesis
Distributed simulation of asynchronous hardware: the program driven synchronization protocol
Journal of Parallel and Distributed Computing
Latency hiding with optimistic computations
Journal of Parallel and Distributed Computing - Parallel and Distributed Discrete Event Simulation--An Emerging Technology
Simulating spatially explicit problems on high performance architectures
Journal of Parallel and Distributed Computing - Parallel and Distributed Discrete Event Simulation--An Emerging Technology
Analysis and simulation of mixed-technology VLSI Systems
Journal of Parallel and Distributed Computing - Parallel and Distributed Discrete Event Simulation--An Emerging Technology
Managing Multimedia Network Services
Journal of Network and Systems Management
DIASPORA: A highly distributed web-query processing system
World Wide Web
A restriction of the elastic time algorithm
Information Processing Letters
Distributed Simulation of Petri Nets
IEEE Parallel & Distributed Technology: Systems & Technology
Beyond Execution Time: Expanding the Use of Performance Models
IEEE Parallel & Distributed Technology: Systems & Technology
Parallel Languages for Discrete-Event Simulation Models
IEEE Computational Science & Engineering
Design Issues in Parallel Simulation Languages
IEEE Design & Test
Recovering from Multiple Process Failures in the Time Warp Mechanism
IEEE Transactions on Computers
Systems with Low Distributed Simulation Overhead
IEEE Transactions on Parallel and Distributed Systems
Conservative Parallel Simulation of Priority Class Queuing Networks
IEEE Transactions on Parallel and Distributed Systems
On Process Migration and Load Balancing in Time Warp
IEEE Transactions on Parallel and Distributed Systems
Conservative Parallel Simulation of Continuous Time Markov Chains Using Uniformization
IEEE Transactions on Parallel and Distributed Systems
On Rolling Back and Checkpointing in Time Warp
IEEE Transactions on Parallel and Distributed Systems
Performance Analysis of Time Warp with Multiple Homogeneous Processors
IEEE Transactions on Software Engineering
Maisie: A Language for the Design of Efficient Discrete-Event Simulations
IEEE Transactions on Software Engineering
Adaptive Time Warp Simulation of Timed Petri Nets
IEEE Transactions on Software Engineering
An adaptive partitioning algorithm for distributed discrete event simulation systems
Journal of Parallel and Distributed Computing - Problems in parallel and distributed computing: Solutions based on evolutionary paradigms
On the processor scheduling problem in time warp synchronization
ACM Transactions on Modeling and Computer Simulation (TOMACS)
DNIS '00 Proceedings of the International Workshop on Databases in Networked Information Systems
Partitioning PCS Networks for Distributed Simulation
HiPC '00 Proceedings of the 7th International Conference on High Performance Computing
Conservative Circuit Simulation on Multiprocessor Machines
HiPC '00 Proceedings of the 7th International Conference on High Performance Computing
Parallel Synchronization of Continuous Time Discrete Event Simulators
ICPP '97 Proceedings of the international Conference on Parallel Processing
Using Programmable NICs for Time-Warp Optimization
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
External Adjustment of Runtime Parameters in Time Warp Synchronized Parallel Simulators
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
An Adaptive Partitioning Algorithm for Conservative Parallel Simulation
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Logically Instantaneous Communication on Top of Distributed Memory Parallel Machines
PaCT '999 Proceedings of the 5th International Conference on Parallel Computing Technologies
A Component Model for Discrete Event Simulation
PPAM '01 Proceedings of the th International Conference on Parallel Processing and Applied Mathematics-Revised Papers
A Timed Petri Net Interpreter: An Alternative Method for Simulation
RSCTC '00 Revised Papers from the Second International Conference on Rough Sets and Current Trends in Computing
Performance Comparable Design of Efficient Synchronization Protocols for Distributed Simulation
MASCOTS '95 Proceedings of the 3rd International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems
A Technique for the Distributed Simulation of Parallel Computers
MASCOTS '95 Proceedings of the 3rd International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems
On the Use of Controlled Types for Fossil Collection in a Distributed Simulation Systems
Ada-Europe '99 Proceedings of the 1999 Ada-Europe International Conference on Reliable Software Technologies
Event List Management in Distributed Simulation
Euro-Par '01 Proceedings of the 7th International Euro-Par Conference Manchester on Parallel Processing
Null Messages Cancellation Through Load Balancing in Distributed Simulations
Euro-Par '99 Proceedings of the 5th International Euro-Par Conference on Parallel Processing
An Object-Oriented Time Warp Simulation Kernel
ISCOPE '98 Proceedings of the Second International Symposium on Computing in Object-Oriented Parallel Environments
Global Virtual Time Approximation for Split Queue Time Warp
ParNum '99 Proceedings of the 4th International ACPC Conference Including Special Tracks on Parallel Numerics and Parallel Computing in Image Processing, Video Processing, and Multimedia: Parallel Computation
Discrete-Event Simulation in Performance Evaluation
Performance Evaluation: Origins and Directions
Improving Optimistic PDES in PVM Environments
Proceedings of the 7th European PVM/MPI Users' Group Meeting on Recent Advances in Parallel Virtual Machine and Message Passing Interface
A Dynamic Load Balancing Architecture for PDES Using PVM on Clusters
Proceedings of the 8th European PVM/MPI Users' Group Meeting on Recent Advances in Parallel Virtual Machine and Message Passing Interface
TWLinuX: Operating System Support for Optimistic Parallel Discrete Event Simulation
HiPC '01 Proceedings of the 8th International Conference on High Performance Computing
Tutorial: Compiling concurrent languages for sequential processors
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Optimistic parallel simulation of a large-scale view storage system
Future Generation Computer Systems - Selected papers from CCGRID 2002
ICS '03 Proceedings of the 17th annual international conference on Supercomputing
SNAP: A Sensor-Network Asynchronous Processor
ASYNC '03 Proceedings of the 9th International Symposium on Asynchronous Circuits and Systems
Redesigning the WARPED Simulation Kernel for Analysis and Application Development
ANSS '03 Proceedings of the 36th annual symposium on Simulation
Parallel/Distributed Simulation with the COMPOSE Object-Relational Database
ASSET '98 Proceedings of the 1998 IEEE Workshop on Application - Specific Software Engineering and Technology
Animation in the Virtual World
CA '96 Proceedings of the Computer Animation
FAST: a simulation testbed for ATM networks
COMPCON '96 Proceedings of the 41st IEEE International Computer Conference
Dynamic Lazy Calendar Queue: An Event List for Network Simulation
HPC-ASIA '97 Proceedings of the High-Performance Computing on the Information Superhighway, HPC-Asia '97
Performance Evaluation of High Performance Switches on Parallel and Distributed Computers
HPC-ASIA '97 Proceedings of the High-Performance Computing on the Information Superhighway, HPC-Asia '97
Prototyping network architectures on a supercomputer
HPDC '96 Proceedings of the 5th IEEE International Symposium on High Performance Distributed Computing
A model for parallel simulation of distributed shared memory
MASCOTS '96 Proceedings of the 4th International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunications Systems
A model for parallel simulation of mobile telecommunication systems
MASCOTS '96 Proceedings of the 4th International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunications Systems
Large-Scale TCP Models Using Optimistic Parallel Simulation
Proceedings of the seventeenth workshop on Parallel and distributed simulation
Parallel Network Simulation under Distributed Genesis
Proceedings of the seventeenth workshop on Parallel and distributed simulation
CCL v3.0: Multiprogrammed Semi-Asynchronous Checkpoints
Proceedings of the seventeenth workshop on Parallel and distributed simulation
Proceedings of the seventeenth workshop on Parallel and distributed simulation
Simulating hardware, software and electromechanical parts using communicating simulators
RSP '96 Proceedings of the 7th IEEE International Workshop on Rapid System Prototyping (RSP '96)
SS '96 Proceedings of the 29th Annual Simulation Symposium (SS '96)
Distributed Simulation of Parallel Executions
SS '96 Proceedings of the 29th Annual Simulation Symposium (SS '96)
A Comparative Analysis of Various Time Warp Algorithms Implemented in the WARPED Simulation Kernel
SS '96 Proceedings of the 29th Annual Simulation Symposium (SS '96)
Length-based Blocking Strategy and Local Estimations in Distributed Simulation: A Case Study
SS '96 Proceedings of the 29th Annual Simulation Symposium (SS '96)
Bipartitioning for Hybrid FPGA-Software Simulatio
VLSID '96 Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
Supervision of real-time software systems using optimistic path prediction and rollbacks
ISSRE '96 Proceedings of the The Seventh International Symposium on Software Reliability Engineering
Real-Time Simulation Techniques Based on the RTO.k Object Modeling
COMPSAC '96 Proceedings of the 20th Conference on Computer Software and Applications
Optimistic Synchronization of Mixed-Mode Simulators
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
Nonblocking Checkpointing for Optimistic Parallel Simulation: Description and an Implementation
IEEE Transactions on Parallel and Distributed Systems
Parallel and distributed simulation
Applied system simulation
Staged simulation: A general technique for improving simulation scale and performance
ACM Transactions on Modeling and Computer Simulation (TOMACS)
EMPOWER: A Cluster Architecture Supporting Network Emulation
IEEE Transactions on Parallel and Distributed Systems
Journal of Parallel and Distributed Computing
Time warp - connection oriented
Proceedings of the eighteenth workshop on Parallel and distributed simulation
Exploiting symmetry for partitioning models in parallel discrete event simulation
Proceedings of the eighteenth workshop on Parallel and distributed simulation
Time-parallel simulation with approximative state matching
Proceedings of the eighteenth workshop on Parallel and distributed simulation
Presence: Teleoperators and Virtual Environments - Special issue: Advances in collaborative virtual environments
Proceedings of the 34th conference on Winter simulation: exploring new frontiers
Proceedings of the 35th conference on Winter simulation: driving innovation
Proceedings of the 35th conference on Winter simulation: driving innovation
Proceedings of the 35th conference on Winter simulation: driving innovation
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
A communication kernel of a cluster system for distributed simulation
CompSysTech '04 Proceedings of the 5th international conference on Computer systems and technologies
Facilitating Distributed Time-Triggered Simulation of Embedded Systems and Environments
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 10 - Volume 11
JiST: an efficient approach to simulation using virtual machines: Research Articles
Software—Practice & Experience
Optimistic Protocol Analysis in a Performance Analyzer and Prediction Tool
Proceedings of the 19th Workshop on Principles of Advanced and Distributed Simulation
Journal of Parallel and Distributed Computing
Decision-Theoretic Throttling for Optimistic Simulations of Multi-Agent Systems
DS-RT '05 Proceedings of the 9th IEEE International Symposium on Distributed Simulation and Real-Time Applications
Enhancing Performance of HW/SW Cosimulation and Coemulation by Reducing Communication Overhead
IEEE Transactions on Computers
A visual environment for distributed simulation systems
ACM SIGSIM Simulation Digest
Resource control for large-scale distributed simulation system over loosely coupled domains
Journal of Parallel and Distributed Computing - Special issue: Design and performance of networks for super-, cluster-, and grid-computing: Part I
A distributed time-driven simulation method for enabling real-time manufacturing shop floor control
Computers and Industrial Engineering
Heterogeneous system level co-simulation for the design of telecommunication systems
Journal of Systems Architecture: the EUROMICRO Journal
Parallel co-simulation using virtual synchronization with redundant host execution
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Proceedings of the 20th Workshop on Principles of Advanced and Distributed Simulation
Progressive Time-Parallel Simulation
Proceedings of the 20th Workshop on Principles of Advanced and Distributed Simulation
Maintenance of K-nn and spatial join queries on continuously moving points
ACM Transactions on Database Systems (TODS)
Distributed Garbage Collection Algorithms for Timestamped Data
IEEE Transactions on Parallel and Distributed Systems
On consistency and network latency in distributed interactive applications: a survey--part I
Presence: Teleoperators and Virtual Environments
Approximate time-parallel cache simulation
WSC '04 Proceedings of the 36th conference on Winter simulation
Challenges and benefits of time-parallel simulation of wireless ad hoc networks
valuetools '06 Proceedings of the 1st international conference on Performance evaluation methodolgies and tools
Parallel and distributed simulation: traditional techniques and recent advances
Proceedings of the 38th conference on Winter simulation
Proceedings of the 38th conference on Winter simulation
Causality information and fossil collection in timewarp simulations
Proceedings of the 38th conference on Winter simulation
Eliminating remote message passing in optimistic simulation
Proceedings of the 38th conference on Winter simulation
Proceedings of the 38th conference on Winter simulation
A systems approach to scalable transportation network modeling
Proceedings of the 38th conference on Winter simulation
Multi-Level Distributed Real-Time Simulation Based On The Tmo Modeling
Journal of Integrated Design & Process Science
Multiprogrammed non-blocking checkpoints in support of optimistic simulation on myrinet clusters
Journal of Systems Architecture: the EUROMICRO Journal
GPU-Accelerated Evaluation Platform for High Fidelity Network Modeling
Proceedings of the 21st International Workshop on Principles of Advanced and Distributed Simulation
Continuous K-nearest neighbor queries for continuously moving points with updates
VLDB '03 Proceedings of the 29th international conference on Very large data bases - Volume 29
Maintenance of spatial semijoin queries on moving points
VLDB '04 Proceedings of the Thirtieth international conference on Very large data bases - Volume 30
A (condensed) parametric study of optimistic computation in wide-area, distributed environments
Proceedings of the 15th ACM Mardi Gras conference: From lightweight mash-ups to lambda grids: Understanding the spectrum of distributed computing requirements, applications, tools, infrastructures, interoperability, and the incremental adoption of key capabilities
DS-RT '07 Proceedings of the 11th IEEE International Symposium on Distributed Simulation and Real-Time Applications
High Performance Road Traffic Simulation based on Dynamic Time Step Synchronization Method
DS-RT '07 Proceedings of the 11th IEEE International Symposium on Distributed Simulation and Real-Time Applications
Applying parallel and distributed simulation to remote network emulation
Proceedings of the 39th conference on Winter simulation: 40 years! The best is yet to come
A fast two-pass HDL simulation with on-demand dump
Proceedings of the 2008 Asia and South Pacific Design Automation Conference
Coordination schemes in distributed simulation of relativistic particle transport
Proceedings of the 2008 ACM symposium on Applied computing
Calibration of abstract performance models for system-level design space exploration
Journal of Signal Processing Systems - Special Issue: Embedded computing systems for DSP
A Comparison of Interest Manager Mechanisms for Agent-Based Simulations Using a Time Warp Executive
Proceedings of the 22nd Workshop on Principles of Advanced and Distributed Simulation
Micro-Synchronization in Conservative Parallel Network Simulation
Proceedings of the 22nd Workshop on Principles of Advanced and Distributed Simulation
Proceedings of the 2008 ACM/IEEE conference on Supercomputing
Synchronized network emulation: matching prototypes with complex simulations
ACM SIGMETRICS Performance Evaluation Review
On Parallel Stochastic Simulation of Diffusive Systems
CMSB '08 Proceedings of the 6th International Conference on Computational Methods in Systems Biology
A Grid-Inspired Mechanism for Coarse-Grained Experiment Execution
DS-RT '08 Proceedings of the 2008 12th IEEE/ACM International Symposium on Distributed Simulation and Real-Time Applications
Controlling Bias in Optimistic Simulations with Space Uncertain Events
DS-RT '08 Proceedings of the 2008 12th IEEE/ACM International Symposium on Distributed Simulation and Real-Time Applications
Simulation and Implementation of the PTIDES Programming Model
DS-RT '08 Proceedings of the 2008 12th IEEE/ACM International Symposium on Distributed Simulation and Real-Time Applications
Time-parallel simulation of wireless ad hoc networks with compressed history
Journal of Parallel and Distributed Computing
COTSon: infrastructure for full system simulation
ACM SIGOPS Operating Systems Review
Partitioning parallel discrete event simulation
CompSysTech '08 Proceedings of the 9th International Conference on Computer Systems and Technologies and Workshop for PhD Students in Computing
Overheads reduction of the distributed time warp simulation
CompSysTech '08 Proceedings of the 9th International Conference on Computer Systems and Technologies and Workshop for PhD Students in Computing
Quantitative assessment of an agent-based simulation on a time warp executive
Proceedings of the 40th Conference on Winter Simulation
Parallel simulation of the global epidemiology of Avian influenza
Proceedings of the 40th Conference on Winter Simulation
Aggregated 3D-visualization of a distributed simulation experiment of a queuing system
Proceedings of the 40th Conference on Winter Simulation
SlackSim: a platform for parallel simulations of CMPs on CMPs
ACM SIGARCH Computer Architecture News
Scalable and retargetable simulation techniquesfor multiprocessor systems
CODES+ISSS '09 Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis
Event-driven gate-level simulation with GP-GPUs
Proceedings of the 46th Annual Design Automation Conference
SlackSim: a platform for parallel simulations of CMPs on CMPs
ACM SIGMETRICS Performance Evaluation Review
Compositional simulation of real-time components
SEA '07 Proceedings of the 11th IASTED International Conference on Software Engineering and Applications
Instruction-level simulation of a cluster at scale
Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis
Benchmarking Memory Management Capabilities within ROOT-Sim
DS-RT '09 Proceedings of the 2009 13th IEEE/ACM International Symposium on Distributed Simulation and Real Time Applications
Time-parallel simulation of wireless ad hoc networks
Wireless Networks
A model for estimating the performance of synchronous parallel network simulation
International Journal of Modelling and Simulation
Resource sharing and remote utilization in communication servers
CDVE'07 Proceedings of the 4th international conference on Cooperative design, visualization, and engineering
Parallel and distributed particle collision simulation with decentralized control
GPC'08 Proceedings of the 3rd international conference on Advances in grid and pervasive computing
Medieval military logistics: a case for distributed agent-based simulation
Proceedings of the 3rd International ICST Conference on Simulation Tools and Techniques
Design and performance evaluation of a conservative parallel discrete event core for GES
Proceedings of the 3rd International ICST Conference on Simulation Tools and Techniques
Deadlock avoidance for streaming computations with filtering
Proceedings of the twenty-second annual ACM symposium on Parallelism in algorithms and architectures
Networked Graphics: Building Networked Games and Virtual Environments
Networked Graphics: Building Networked Games and Virtual Environments
A model-driven emulation approach to large-scale TCP performance evaluation
International Journal of Communication Networks and Distributed Systems
Profile-based partition for parallel simulation of DEVS-FIRE
SpringSim '10 Proceedings of the 2010 Spring Simulation Multiconference
parSC: synchronous parallel systemc simulation on multi-core host architectures
CODES/ISSS '10 Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Improving performance of parallel simulation kernel for wireless network simulations
MILCOM'06 Proceedings of the 2006 IEEE conference on Military communications
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Adaptive and Speculative Slack Simulations of CMPs on CMPs
MICRO '43 Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture
Multi-core parallel simulation of system-level description languages
Proceedings of the 16th Asia and South Pacific Design Automation Conference
Accelerating UNISIM-Based Cycle-Level Microarchitectural Simulations on Multicore Platforms
ACM Transactions on Design Automation of Electronic Systems (TODAES)
A New Algorithm for VHDL Parallel Simulation
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Gate-Level Simulation with GPU Computing
ACM Transactions on Design Automation of Electronic Systems (TODAES)
SliceTime: a platform for scalable and accurate network emulation
Proceedings of the 8th USENIX conference on Networked systems design and implementation
VM-based slack emulation of large-scale systems
Proceedings of the 1st International Workshop on Runtime and Operating Systems for Supercomputers
PADS '10 Proceedings of the 2010 IEEE Workshop on Principles of Advanced and Distributed Simulation
PADS '10 Proceedings of the 2010 IEEE Workshop on Principles of Advanced and Distributed Simulation
Parallel discrete molecular dynamics simulation with speculation and in-order commitment
Journal of Computational Physics
A Distributed Platform for Global-Scale Agent-Based Models of Disease Transmission
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Reversible Parallel Discrete Event Formulation of a TLM-Based Radio Signal Propagation Model
ACM Transactions on Modeling and Computer Simulation (TOMACS)
A Virtual Time System for OpenVZ-Based Network Emulations
PADS '11 Proceedings of the 2011 IEEE Workshop on Principles of Advanced and Distributed Simulation
Parallel Discrete Event N-Body Dynamics
PADS '11 Proceedings of the 2011 IEEE Workshop on Principles of Advanced and Distributed Simulation
Sniper: exploring the level of abstraction for scalable and accurate parallel multi-core simulation
Proceedings of 2011 International Conference for High Performance Computing, Networking, Storage and Analysis
VSim: Simulating multi-server setups at near native hardware speed
ACM Transactions on Architecture and Code Optimization (TACO) - HIPEAC Papers
MABS'04 Proceedings of the 2004 international conference on Multi-Agent and Multi-Agent-Based Simulation
A novel rollback algorithm in parallel and distributed system simulation
PDCAT'04 Proceedings of the 5th international conference on Parallel and Distributed Computing: applications and Technologies
A conservative approach to systemc parallelization
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part IV
Modelling environments for distributed simulation
E4MAS'04 Proceedings of the First international conference on Environments for Multi-Agent Systems
A comparative study of stampede garbage collection algorithms
LCPC'02 Proceedings of the 15th international conference on Languages and Compilers for Parallel Computing
Heuristic acceleration of routing in transportation simulations using GPUs
Proceedings of the 4th International ICST Conference on Simulation Tools and Techniques
Distribution of parallel discrete-event simulations in GES: core design and optimizations
Proceedings of the 4th International ICST Conference on Simulation Tools and Techniques
An evolutionary algorithm to optimize log/restore operations within optimistic simulation platforms
Proceedings of the 4th International ICST Conference on Simulation Tools and Techniques
Runtime efficient event scheduling in multi-threaded network simulation
Proceedings of the 4th International ICST Conference on Simulation Tools and Techniques
Distributed constraint-based railway simulation
INAP'04/WLP'04 Proceedings of the 15th international conference on Applications of Declarative Programming and Knowledge Management, and 18th international conference on Workshop on Logic Programming
A universal parallel front-end for execution driven microarchitecture simulation
Proceedings of the 2012 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools
Virtual-machine-based emulation of future generation high-performance computing systems
International Journal of High Performance Computing Applications
Proceedings of the 5th International ICST Conference on Simulation Tools and Techniques
Cache-aware memory manager for optimistic simulations
Proceedings of the 5th International ICST Conference on Simulation Tools and Techniques
Introducing parallelization & performance optimization in SIMULUS based operational simulators
Proceedings of the 5th International ICST Conference on Simulation Tools and Techniques
Conservative Distributed Discrete Event Simulation on Amazon EC2
CCGRID '12 Proceedings of the 2012 12th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (ccgrid 2012)
Hierarchical Composite Synchronization
PADS '12 Proceedings of the 2012 ACM/IEEE/SCS 26th Workshop on Principles of Advanced and Distributed Simulation
Multi-level Parallelism for Time- and Cost-Efficient Parallel Discrete Event Simulation on GPUs
PADS '12 Proceedings of the 2012 ACM/IEEE/SCS 26th Workshop on Principles of Advanced and Distributed Simulation
Dynamically Adjusting Core Frequencies to Accelerate Time Warp Simulations in Many-Core Processors
PADS '12 Proceedings of the 2012 ACM/IEEE/SCS 26th Workshop on Principles of Advanced and Distributed Simulation
Performance Analysis of a Multithreaded PDES Simulator on Multicore Clusters
PADS '12 Proceedings of the 2012 ACM/IEEE/SCS 26th Workshop on Principles of Advanced and Distributed Simulation
Open Network Emulator: A Parallel Direct Code Execution Network Simulator
PADS '12 Proceedings of the 2012 ACM/IEEE/SCS 26th Workshop on Principles of Advanced and Distributed Simulation
Partitioning on Dynamic Behavior for Parallel Discrete Event Simulation
PADS '12 Proceedings of the 2012 ACM/IEEE/SCS 26th Workshop on Principles of Advanced and Distributed Simulation
Bridging the gap: A standards-based approach to OR/MS distributed simulation
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Load sharing for optimistic parallel simulations on multi core machines
ACM SIGMETRICS Performance Evaluation Review
Parallel simulation on supercomputers
Proceedings of the Winter Simulation Conference
Using DVFS to optimize time warp simulations
Proceedings of the Winter Simulation Conference
Assessing load-sharing within optimistic simulation platforms
Proceedings of the Winter Simulation Conference
Seven pitfalls in modeling and simulation research
Proceedings of the Winter Simulation Conference
Distributed computing and modeling & simulation: speeding up simulations and creating large models
Proceedings of the Winter Simulation Conference
Model-driven network emulation with virtual time machine
Proceedings of the Winter Simulation Conference
Optimized out-of-order parallel discrete event simulation using predictions
Proceedings of the Conference on Design, Automation and Test in Europe
ZSim: fast and accurate microarchitectural simulation of thousand-core systems
Proceedings of the 40th Annual International Symposium on Computer Architecture
Supporting robust system analysis with the test matrix tool framework
Proceedings of the 2013 ACM SIGSIM conference on Principles of advanced discrete simulation
Can PDES scale in environments with heterogeneous delays?
Proceedings of the 2013 ACM SIGSIM conference on Principles of advanced discrete simulation
Event pool structures for PDES on many-core Beowulf clusters
Proceedings of the 2013 ACM SIGSIM conference on Principles of advanced discrete simulation
Interference resilient PDES on multi-core systems: towards proportional slowdown
Proceedings of the 2013 ACM SIGSIM conference on Principles of advanced discrete simulation
On the parallel simulation of scale-free networks
Proceedings of the 2013 ACM SIGSIM conference on Principles of advanced discrete simulation
Approximate parallel simulation of web search engines
Proceedings of the 2013 ACM SIGSIM conference on Principles of advanced discrete simulation
Consistent and efficient output-streams management in optimistic simulation platforms
Proceedings of the 2013 ACM SIGSIM conference on Principles of advanced discrete simulation
Out-of-order parallel simulation for ESL design
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Autonomous, failure-resilient orchestration of distributed discrete event simulations
Proceedings of the 2013 ACM Cloud and Autonomic Computing Conference
Modelling Search Engines Performance Using Coloured Petri Nets
Fundamenta Informaticae - Application and Theory of Petri Nets and Concurrency, 2012
Hi-index | 0.03 |
Parallel discrete event simulation (PDES), sometimes called distributed simulation, refers to the execution of a single discrete event simulation program on a parallel computer. PDES has attracted a considerable amount of interest in recent years. From a pragmatic standpoint, this interest arises from the fact that large simulations in engineering, computer science, economics, and military applications, to mention a few, consume enormous amounts of time on sequential machines. From an academic point of view, parallel simulation is interesting because it represents a problem domain that often contains substantial amounts of parallelism (e.g., see [59]), yet paradoxically, is surprisingly difficult to parallelize in practice. A sufficiently general solution to the PDES problem may lead to new insights in parallel computation as a whole. Historically, the irregular, data-dependent nature of PDES programs has identified it as an application where vectorization techniques using supercomputer hardware provide little benefit [14].A discrete event simulation model assumes the system being simulated only changes state at discrete points in simulated time. The simulation model jumps from one state to another upon the occurrence of an event. For example, a simulator of a store-and-forward communication network might include state variables to indicate the length of message queues, the status of communication links (busy or idle), etc. Typical events might include arrival of a message at some node in the network, forwarding a message to another network node, component failures, etc.We are especially concerned with the simulation of asynchronous systems where events are not synchronized by a global clock, but rather, occur at irregular time intervals. For these systems, few simulator events occur at any single point in simulated time; therefore parallelization techniques based on lock-step execution using a global simulation clock perform poorly or require assumptions in the timing model that may compromise the fidelity of the simulation. Concurrent execution of events at different points in simulated time is required, but as we shall soon see, this introduces interesting synchronization problems that are at the heart of the PDES problem.This article deals with the execution of a simulation program on a parallel computer by decomposing the simulation application into a set of concurrently executing processes. For completeness, we conclude this section by mentioning other approaches to exploiting parallelism in simulation problems.Comfort and Shepard et al. have proposed using dedicated functional units to implement specific sequential simulation functions, (e.g., event list manipulation and random number generation [20, 23, 47]). This method can provide only a limited amount of speedup, however. Zhang, Zeigler, and Concepcion use the hierarchical decomposition of the simulation model to allow an event consisting of several subevents to be processed concurrently [21, 98]. A third alternative is to execute independent, sequential simulation programs on different processors [11, 39]. This replicated trials approach is useful if the simulation is largely stochastic and one is performing long simulation runs to reduce variance, or if one is attempting to simulate a specific simulation problem across a large number of different parameter settings. However, one drawback with this approach is that each processor must contain sufficient memory to hold the entire simulation. Furthermore, this approach is less suitable in a design environment where results of one experiment are used to determine the experiment that should be performed next because one must wait for a sequential execution to be completed before results are obtained.