Parallel logic simulation of VLSI systems

  • Authors:
  • Mary L. Bailey;Jack V. Briner, Jr.;Roger D. Chamberlain

  • Affiliations:
  • Univ. of Arizona, Tucson;Univ. of North Carolina, Greensboro;Washington Univ., St. Louis, MO

  • Venue:
  • ACM Computing Surveys (CSUR)
  • Year:
  • 1994

Quantified Score

Hi-index 0.00

Visualization

Abstract

Fast, efficient logic simulators are an essential tool in modern VLSI system design. Logic simulation is used extensively for design verification prior to fabrication, and as VLSI systems grow in size, the execution time required by simulation is becoming more and more significant. Faster logic simulators will have an appreciable economic impact, speeding time to market while ensuring more thorough system design testing. One approach to this problem is to utilize parallel processing, taking advantage of the concurrency available in the VLSI system to accelerate the logic simulation task.Parallel logic simulation has received a great deal of attention over the past several years, but this work has not yet resulted in effective, high-performance simulators being available to VLSI designers. A number of techniques have been developed to investigate performance issues: formal models, performance modeling, empirical studies, and prototype implementations. Analyzing reported results of these techniques, we conclude that five major factors affect performance: synchronization algorithm, circuit structure, timing granularity, target architecture, and partitioning. After reviewing techniques for parallel simulation, we consider each of these factors using results reported in the literature. Finally we synthesize the results and present directions for future research in the field.