Benchmarking Reconfigurable Architectures in the Mobile Domain

  • Authors:
  • Peter Jamieson;Tobias Becker;Wayne Luk;Peter Y. K. Cheung;Tero Rissa;Teemu Pitkanen

  • Affiliations:
  • -;-;-;-;-;-

  • Venue:
  • FCCM '09 Proceedings of the 2009 17th IEEE Symposium on Field Programmable Custom Computing Machines
  • Year:
  • 2009

Quantified Score

Hi-index 0.00

Visualization

Abstract

In this paper, we introduce GroundHog 2009 benchmarking suite that can be used to evaluate the power consumption of reconfigurable technology implementing applications targeting the mobile computing domain. This benchmark suite includes seven designs; one design targets fine-grained FPGA fabrics, and six designs are specified ata high level, which allows them to target a range of reconfigurabletechnologies. Each of the six designs can be stimulatedwith synthetically generated input stimuli created bya tool included in the suite. Additionally, another tool canhelp verify the correctness of each implemented design. Finally,we use our benchmark suite to evaluate the powerconsumption of two modern FPGAs targeting the mobiledomain.