Benchmarking and evaluating reconfigurable architectures targeting the mobile domain

  • Authors:
  • Peter Jamieson;Tobias Becker;Peter Y. K. Cheung;Wayne Luk;Tero Rissa;Teemu Pitkänen

  • Affiliations:
  • Miami University, Oxford, OH;Imperial College, London, UK;Imperial College, London, UK;Imperial College, London, UK;Nokia Devices R&D;Tampere University of Technology, Tampere, Finland

  • Venue:
  • ACM Transactions on Design Automation of Electronic Systems (TODAES)
  • Year:
  • 2010

Quantified Score

Hi-index 0.00

Visualization

Abstract

We present the GroundHog 2009 benchmarking suite that evaluates the power consumption of reconfigurable technology for applications targeting the mobile computing domain. This benchmark suite includes seven designs; one design targets fine-grained FPGA fabrics allowing for quick state-of-the-art evaluation, and six designs are specified at a high level allowing them to target a range of existing and future reconfigurable technologies. Each of the six designs can be stimulated with the help of synthetically generated input stimuli created by an open-source tool included in the downloadable suite. Another tool is included to help verify the correctness of each implemented design. To demonstrate the potential of this benchmark suite, we evaluate the power consumption of two modern industrial FPGAs targeting the mobile domain. Also, we show how an academic FPGA framework, VPR 5.0, that has been updated for power estimates can be used to estimates the power consumption of different FPGA architectures and an open-source CAD flow mapping to these architectures.