Thread to strand binding of parallel network applications in massive multi-threaded systems

  • Authors:
  • Petar Radojković;Vladimir Čakarević;Javier Verdú;Alex Pajuelo;Francisco J. Cazorla;Mario Nemirovsky;Mateo Valero

  • Affiliations:
  • Barcelona Supercomputing Center (BSC), Barcelona, Spain;Barcelona Supercomputing Center (BSC), Barcelona, Spain;Universitat Politècnica de Catalunya (UPC), Barcelona, Spain;Universitat Politècnica de Catalunya (UPC), Barcelona, Spain;Scientific Researcher in the Spanish National Research Council (CSIC), Barcelona, Spain;Barcelona Supercomputing Center (BSC) and ICREA Research Professor, Barcelona, Spain;Barcelona Supercomputing Center (BSC) and Universitat Politècnica de Catalunya (UPC), Barcelona, Spain

  • Venue:
  • Proceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming
  • Year:
  • 2010

Quantified Score

Hi-index 0.00

Visualization

Abstract

In processors with several levels of hardware resource sharing,like CMPs in which each core is an SMT, the scheduling process becomes more complex than in processors with a single level of resource sharing, such as pure-SMT or pure-CMP processors. Once the operating system selects the set of applications to simultaneously schedule on the processor (workload), each application/thread must be assigned to one of the hardware contexts(strands). We call this last scheduling step the Thread to Strand Binding or TSB. In this paper, we show that the TSB impact on the performance of processors with several levels of shared resources is high. We measure a variation of up to 59% between different TSBs of real multithreaded network applications running on the UltraSPARC T2 processor which has three levels of resource sharing. In our view, this problem is going to be more acute in future multithreaded architectures comprising more cores, more contexts per core, and more levels of resource sharing. We propose a resource-sharing aware TSB algorithm (TSBSched) that significantly facilitates the problem of thread to strand binding for software-pipelined applications, representative of multithreaded network applications. Our systematic approach encapsulates both, the characteristics of multithreaded processors under the study and the structure of the software pipelined applications. Once calibrated for a given processor architecture, our proposal does not require hardware knowledge on the side of the programmer, nor extensive profiling of the application. We validate our algorithm on the UltraSPARC T2 processor running a set of real multithreaded network applications on which we report improvements of up to 46% compared to the current state-of-the-art dynamic schedulers.