On the Effects of Process Variation in Network-on-Chip Architectures

  • Authors:
  • Chrysostomos Nicopoulos;Suresh Srinivasan;Aditya Yanamandra;Dongkook Park;Vijaykrishnan Narayanan;Chita R. Das;Mary J. Irwin

  • Affiliations:
  • University of Cyprus, Nicosia;Intel Corp., Bangalore;Pennsylvania State University, University Park;Intel Corp., Bangalore;Pennsylvania State University, University Park;Pennsylvania State University, University Park;Pennsylvania State University, University Park

  • Venue:
  • IEEE Transactions on Dependable and Secure Computing
  • Year:
  • 2010

Quantified Score

Hi-index 0.00

Visualization

Abstract

The advent of diminutive technology feature sizes has led to escalating transistor densities. Burgeoning transistor counts are casting a dark shadow on modern chip design: global interconnect delays are dominating gate delays and affecting overall system performance. Networks-on-Chip (NoC) are viewed as a viable solution to this problem because of their scalability and optimized electrical properties. However, on-chip routers are susceptible to another artifact of deep submicron technology, Process Variation (PV). PV is a consequence of manufacturing imperfections, which may lead to degraded performance and even erroneous behavior. In this work, we present the first comprehensive evaluation of NoC susceptibility to PV effects, and we propose an array of architectural improvements in the form of a new router design—called SturdiSwitch—to increase resiliency to these effects. Through extensive reengineering of critical components, SturdiSwitch provides increased immunity to PV while improving performance and increasing area and power efficiency.