smt-SPRINTS: software precomputation with intelligent streaming for resource-constrained SMTs

  • Authors:
  • Tanping Wang;Christos D. Antonopoulos;Dimitrios S. Nikolopoulos

  • Affiliations:
  • Department of Computer Science, The College of William and Mary, Williamsburg, VA;Department of Computer Science, The College of William and Mary, Williamsburg, VA;Department of Computer Science, The College of William and Mary, Williamsburg, VA

  • Venue:
  • Euro-Par'05 Proceedings of the 11th international Euro-Par conference on Parallel Processing
  • Year:
  • 2005

Quantified Score

Hi-index 0.00

Visualization

Abstract

We present SPRINTS, a source-level speculative precomputation framework for scientific applications running on SMTs with two execution contexts. Our framework targets memory-bound applications and reduces memory latency by prefetching long streams of delinquent data accesses. A unique aspect of SPRINTS is that it requires neither hardware nor compiler support. It is based on partial cache simulation and a compression algorithm which can accurately summarize very long streams of cache misses. SPRINTS extracts patterns from the streams, which are in turn used to generate source-level, highly optimized precomputation code. SPRINTS achieves significant performance improvements over plain thread-level parallelization and indiscriminate precomputation based on code cloning. We demonstrate these improvements using two realistic scientific applications.