A QoS-aware memory controller for dynamically balancing GPU and CPU bandwidth use in an MPSoC

  • Authors:
  • Min Kyu Jeong;Mattan Erez;Chander Sudanthi;Nigel Paver

  • Affiliations:
  • The University of Texas at Austin;The University of Texas at Austin;ARM Inc.;ARM Inc.

  • Venue:
  • Proceedings of the 49th Annual Design Automation Conference
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

Diverse IP cores are integrated on a modern system-on-chip and share resources. Off-chip memory bandwidth is often the scarcest resource and requires careful allocation. Two of the most important cores, the CPU and the GPU, can both simultaneously demand high bandwidth. We demonstrate that conventional quality-of-service allocation techniques can severely constrict GPU performance by allowing the CPU to occasionally monopolize shared bandwidth. We propose to dynamically adapt the priority of CPU and GPU memory requests based on a novel mechanism that tracks progress of GPU workloads. Our evaluation shows that the proposed mechanism significantly improves GPU performance with only minimal impact on the CPU.