Hardware acceleration in the IBM PowerEN processor: architecture and performance

  • Authors:
  • Anil Krishna;Timothy Heil;Nicholas Lindberg;Farnaz Toussi;Steven VanderWiel

  • Affiliations:
  • IBM, Research Triangle Park, NC, USA;Microsoft IEB, Seattle, WA, USA;Milwaukee Institute, Milwaukee, WI, USA;IBM, Rochester, MN, USA;IBM, Rochester, MN, USA

  • Venue:
  • Proceedings of the 21st international conference on Parallel architectures and compilation techniques
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

Computation at the edge of a datacenter has unique characteristics; it deals with streaming data from multiple sources, often requiring repeated application of several standard algorithmic kernels. The demand for high data rates and power efficiency points toward hardware acceleration of key functions. These accelerators must be tightly integrated with general purpose computation to keep invocation overhead and latency low. The accelerators must be easy for software to use, and the system must be flexible enough to support evolving networking standards. In this paper, we describe and evaluate the architecture of IBM's PowerEN processor, with a focus on its on-chip hardware accelerators. PowerEN unites the throughput of application-specific accelerators with the programmability of general purpose cores on a single coherent memory architecture. Hardware acceleration improves throughput by orders of magnitude in some cases compared to equivalent computation on the general purpose cores. By offloading work to the accelerators, general purpose cores are freed to simultaneously work on computation less suited to acceleration.