A predictive system shutdown method for energy saving of event-driven computation
ACM Transactions on Design Automation of Electronic Systems (TODAES)
On-chip traffic modeling and synthesis for MPEG-2 video applications
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Leakage aware dynamic voltage scaling for real-time embedded systems
Proceedings of the 41st annual Design Automation Conference
Workload prediction and dynamic voltage scaling for MPEG decoding
ASP-DAC '06 Proceedings of the 2006 Asia and South Pacific Design Automation Conference
Program Counter-Based Prediction Techniques for Dynamic Power Management
IEEE Transactions on Computers
Thermal vs Energy Optimization for DVFS-Enabled Processors in Embedded Systems
ISQED '07 Proceedings of the 8th International Symposium on Quality Electronic Design
Stochastic modeling and optimization for robust power management in a partially observable system
Proceedings of the conference on Design, automation and test in Europe
Temperature aware task scheduling in MPSoCs
Proceedings of the conference on Design, automation and test in Europe
Power management in energy harvesting sensor networks
ACM Transactions on Embedded Computing Systems (TECS) - Special Section LCTES'05
PARAID: A gear-shifting power-aware RAID
ACM Transactions on Storage (TOS)
Energy-optimizing source code transformations for operating system-driven embedded software
ACM Transactions on Embedded Computing Systems (TECS)
An energy-aware framework for dynamic software management in mobile computing systems
ACM Transactions on Embedded Computing Systems (TECS)
Temperature-aware MPSoC scheduling for reducing hot spots and gradients
Proceedings of the 2008 Asia and South Pacific Design Automation Conference
Self-Optimizing Memory Controllers: A Reinforcement Learning Approach
ISCA '08 Proceedings of the 35th Annual International Symposium on Computer Architecture
Predictive dynamic thermal management for multicore systems
Proceedings of the 45th annual Design Automation Conference
Temperature management in multiprocessor SoCs using online learning
Proceedings of the 45th annual Design Automation Conference
A framework of stochastic power management using hidden Markov model
Proceedings of the conference on Design, automation and test in Europe
A Hybrid Reinforcement Learning Approach to Autonomic Resource Allocation
ICAC '06 Proceedings of the 2006 IEEE International Conference on Autonomic Computing
Temperature-constrained power control for chip multiprocessors with online model estimation
Proceedings of the 36th annual international symposium on Computer architecture
A Homogeneous Architecture for Power Policy Integration in Operating Systems
IEEE Transactions on Computers
Predict and act: dynamic thermal management for multi-core processors
Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design
Power management of voltage/frequency island-based systems using hardware-based methods
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
System-level power management using online learning
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Adaptive power management using reinforcement learning
Proceedings of the 2009 International Conference on Computer-Aided Design
Utilizing predictors for efficient thermal management in multiprocessor SoCs
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
USENIXATC'10 Proceedings of the 2010 USENIX conference on USENIX annual technical conference
Designing heterogeneous embedded network-on-chip platforms with users in mind
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Enhanced Q-learning algorithm for dynamic power management with performance constraint
Proceedings of the Conference on Design, Automation and Test in Europe
Leakage-aware multiprocessor scheduling for low power
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Accelerating enterprise solid-state disks with non-volatile merge caching
GREENCOMP '10 Proceedings of the International Conference on Green Computing
JETC: Joint energy thermal and cooling management for memory and CPU subsystems in servers
HPCA '12 Proceedings of the 2012 IEEE 18th International Symposium on High-Performance Computer Architecture
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Joint Power Management of Memory and Disk Under Performance Constraints
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Temperature Aware Dynamic Workload Scheduling in Multisocket CPU Servers
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Hi-index | 0.00 |
System level power management must consider the uncertainty and variability that come from the environment, the application and the hardware. A robust power management technique must be able to learn the optimal decision from past events and improve itself as the environment changes. This article presents a novel on-line power management technique based on model-free constrained reinforcement learning (Q-learning). The proposed learning algorithm requires no prior information of the workload and dynamically adapts to the environment to achieve autonomous power management. We focus on the power management of the peripheral device and the microprocessor, two of the basic components of a computer. Due to their different operating behaviors and performance considerations, these two types of devices require different designs of Q-learning agent. The article discusses system modeling and cost function construction for both types of Q-learning agent. Enhancement techniques are also proposed to speed up the convergence and better maintain the required performance (or power) constraint in a dynamic system with large variations. Compared with the existing machine learning based power management techniques, the Q-learning based power management is more flexible in adapting to different workload and hardware and provides a wider range of power-performance tradeoff.