An application-aware cache replacement policy for last-level caches

  • Authors:
  • Tripti S. Warrier;B. Anupama;Madhu Mutyam

  • Affiliations:
  • PACE Laboratory, Computer Science and Engineering Department, Indian Institute of Technology Madras, Chennai, India;PACE Laboratory, Computer Science and Engineering Department, Indian Institute of Technology Madras, Chennai, India;PACE Laboratory, Computer Science and Engineering Department, Indian Institute of Technology Madras, Chennai, India

  • Venue:
  • ARCS'13 Proceedings of the 26th international conference on Architecture of Computing Systems
  • Year:
  • 2013

Quantified Score

Hi-index 0.00

Visualization

Abstract

Current day multicore processors employ multi-level cache hierarchy with one or two levels of private caches and a shared last-level cache (LLC). Efficient cache replacement policies at LLC are essential for reducing the off-chip memory traffic as well as contention for memory bandwidth. Cache replacement techniques for unicore LLCs may not be efficient for multicore LLCs as multicore LLCs can be shared by applications with varying access behavior, running simultaneously. One application may dominate another by flooding of cache requests and evicting the useful data of the other application. This paper proposes a new cache replacement policy for shared LLC called Application-aware Cache Replacement (ACR). ACR policy prevents victimizing low-access rate application by a high-access rate application. It dynamically keeps track of maximum life-time of cache lines in shared LLC for each concurrent application and helps in efficient utilization of the cache space. Experimental evaluation of ACR technique for 2-core and 4-core systems using SPEC CPU 2000 and 2006 benchmark suites shows significant speed-up improvement over the least recently used and thread-aware dynamic re-reference interval prediction techniques.