Maximizing frequency and yield of power-constrained designs using programmable power-gating

  • Authors:
  • Nam Sung Kim;Abhishek Sinkar;Jun Seomun;Youngsoo Shin

  • Affiliations:
  • Department of Electrical and Computer Engineering, University of Wisconsin, Madison, WI;Department of Electrical and Computer Engineering, University of Wisconsin, Madison, WI;Department of Electrical Engineering, Korea Advanced Institute of Technology, Daejeon, Korea;Department of Electrical Engineering, Korea Advanced Institute of Technology, Daejeon, Korea

  • Venue:
  • IEEE Transactions on Very Large Scale Integration (VLSI) Systems
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

A large spread of leakage power due to process variations impacts the total power consumption of integrated circuits (ICs) substantially. This in turn may reduce frequency and/or yield of power-constrained designs. Facing such challenges, we propose two methods using power-gating (PG) devices whose effective width can be adjusted during a post-silicon tuning process. In the first method, we consider processors exhibiting substantial core-to-core frequency and leakage power variations while only a global voltage/frequency domain is supported. Since each core in a processor often has its own PG device, the total width each PG device and the global voltage are tuned jointly to maximize the global frequency for a given power constraint. Our experiment demonstrates that the maximum frequency of 2-, 4-, 8-, and 16-core processors is improved by 5%-21%. In the second method, we take rejected dies due to excessive leakage power. We adjust the width of PG devices such that the dies satisfy their given power constraint. Our experiment shows that 88%-98% of discarded dies violating their power constraint are recovered.