Designing a practical data filter cache to improve both energy efficiency and performance

  • Authors:
  • Alen Bardizbanyan;Magnus Själander;David Whalley;Per Larsson-Edefors

  • Affiliations:
  • Chalmers University of Technology, Gothenburg, Sweden;Florida State University, FL, USA;Florida State University, FL, USA;Chalmers University of Technology, Gothenburg, Sweden

  • Venue:
  • ACM Transactions on Architecture and Code Optimization (TACO)
  • Year:
  • 2013

Quantified Score

Hi-index 0.00

Visualization

Abstract

Conventional Data Filter Cache (DFC) designs improve processor energy efficiency, but degrade performance. Furthermore, the single-cycle line transfer suggested in prior studies adversely affects Level-1 Data Cache (L1 DC) area and energy efficiency. We propose a practical DFC that is accessed early in the pipeline and transfers a line over multiple cycles. Our DFC design improves performance and eliminates a substantial fraction of L1 DC accesses for loads, L1 DC tag checks on stores, and data translation lookaside buffer accesses for both loads and stores. Our evaluation shows that the proposed DFC can reduce the data access energy by 42.5% and improve execution time by 4.2%.