Performance Scalability of Multimedia Instruction Set Extensions

  • Authors:
  • D. Cheresiz;Ben H. H. Juurlink;Stamatis Vassiliadis;Harry A. G. Wijshoff

  • Affiliations:
  • -;-;-;-

  • Venue:
  • Euro-Par '02 Proceedings of the 8th International Euro-Par Conference on Parallel Processing
  • Year:
  • 2002

Quantified Score

Hi-index 0.01

Visualization

Abstract

Current media ISA extensions such as Sun's VIS consist of SIMD-like instructions that operate on short vector registers. In order to exploit more parallelism in a superscalar processor provided with such instructions, the issue width has to be increased. In the Complex Streamed Instruction (CSI) set exploiting more parallelism does not involve issuing more instructions. In this paper we study how the performance of superscalar processors extended with CSI or VIS scales with the amount of parallel execution hardware. Results show that the performance of the CSI-enhanced processor scales very well. For example, increasing the datapath width of the CSI execution unit from 16 to 32 bytes improves the kernel-level performance by a factor of 1.56 on average. The VIS-enhanced machine is unable to utilize large amounts of parallel execution hardware efficiently. Due to the huge number of instructions that need to be executed, the decode-issue logic constitutes a bottleneck.