Using On-chip Test Pattern Compression For Full Scan SoC Designs

  • Authors:
  • Helmut Lang;Jens Pfeiffer;Jeff Maguire

  • Affiliations:
  • -;-;-

  • Venue:
  • ITC '00 Proceedings of the 2000 IEEE International Test Conference
  • Year:
  • 2000

Quantified Score

Hi-index 0.00

Visualization

Abstract

In todays mixed signal System-on-Chip designs advancedDesign-for-Test techniques become more and more importantto meet test coverage and quality requirements. However,standard strategies often cannot be used because ofdesign specific requirements like the limitation of availableinput and output pins.This paper describes the Design-for-Test strategy of thelatest version of Motorola's chip family for a mixed signalapplication. Deterministic test pattern, which are generatedusing an ATPG tool, have been used to stimulate thedesign and achieve efficient and high test coverage. Thememories are tested by using a memory BIST implementation.The limitation of available digital input and outputpins is solved in two ways. Analog input pins have beenmodified to serve as digital pins in test mode. On-chip testpattern compression using a multiple input shift register(MISR) is used to reduce the number of required outputpins. Failure diagnostic capabilities have been implementedto allow debug of failing devices. The paper describes the test strategy for the System-on-Chip device and outlines the design flow which was used to implement it.