Efficient Resource Management during Instruction Scheduling for the EPIC Architecture

  • Authors:
  • Dong-Yuan Chen;Lixia Liu;Chen Fu;Shuxin Yang;Chengyong Wu;Roy Ju

  • Affiliations:
  • -;-;-;-;-;-

  • Venue:
  • Proceedings of the 12th International Conference on Parallel Architectures and Compilation Techniques
  • Year:
  • 2003

Quantified Score

Hi-index 0.00

Visualization

Abstract

Effective and efficient modeling and management of hardware resources have always been critical toward generating highly efficient code in optimizing compilers. The instruction templates and dispersal rules of the EPIC architecture add new complexity in managing resource constraints to instruction scheduler. We extended a finite state automaton (FSA) approach to efficiently manage all key resource constraints of an EPIC architecture on-theflyduring instruction scheduling. We have fully integrated the FSA-based resource management into the instruction scheduler in the Open Research Compiler for the EPIC architecture. Our integrated approach shows up to 12% speedup on some SPECint2000 benchmarks and 4.5%speedup on average for all SPECint2000 benchmarks on an Itanium machine when compares to an instruction scheduler with decoupled resource management. In the meantime, the instruction scheduling time of our approach is reduced by 4% on average.