Architecture-aware classical Taylor shift by 1

  • Authors:
  • Jeremy R. Johnson;Werner Krandick;Anatole D. Ruslanov

  • Affiliations:
  • Drexel University, Philadelphia, PA;Drexel University, Philadelphia, PA;Drexel University, Philadelphia, PA

  • Venue:
  • Proceedings of the 2005 international symposium on Symbolic and algebraic computation
  • Year:
  • 2005

Quantified Score

Hi-index 0.00

Visualization

Abstract

We present algorithms that outperform straightforward implementations of classical Taylor shift by 1. For input poly-nomials of low degrees a method of the SACLIB library is faster than straightforward implementations by a factor of at least 2; for higher degrees we develop a method that is faster than straightforward implementations by a factor of up to 7. Our Taylor shift algorithm requires more word additions than straightforward methods but it reduces the number of cycles per word addition by reducing memory traffic and the number of carry computations. The introduction of signed digits, suspended normalization, radix reduction, and delayed carry propagation enables our algorithm to take advantage of the technique of register tiling which is commonly used by optimizing compilers. While our algorithm is written in a high-level language, it depends on several parameters that can be tuned to the underlying architecture.