ACM SIGARCH Computer Architecture News
Hitting the memory wall: implications of the obvious
ACM SIGARCH Computer Architecture News
IEEE Transactions on Computers
Quantifying loop nest locality using SPEC'95 and the perfect benchmarks
ACM Transactions on Computer Systems (TOCS)
An integrated approach to reducing power dissipation in memory hierarchies
CASES '02 Proceedings of the 2002 international conference on Compilers, architecture, and synthesis for embedded systems
Maintenance-Free Global Data Storage
IEEE Internet Computing
A near optimal scheduler for switch-memory-switch routers
Proceedings of the fifteenth annual ACM symposium on Parallel algorithms and architectures
Proactive Detection of Software Aging Mechanisms in Performance Critical Computers
SEW '02 Proceedings of the 27th Annual NASA Goddard Software Engineering Workshop (SEW-27'02)
Cyclone: a broadcast-free dynamic instruction scheduler with selective replay
Proceedings of the 30th annual international symposium on Computer architecture
Overcoming the limitations of conventional vector processors
Proceedings of the 30th annual international symposium on Computer architecture
The Jrpm system for dynamically parallelizing Java programs
Proceedings of the 30th annual international symposium on Computer architecture
OOPSLA '03 Proceedings of the 18th annual ACM SIGPLAN conference on Object-oriented programing, systems, languages, and applications
Automatic generation of application specific processors
Proceedings of the 2003 international conference on Compilers, architecture and synthesis for embedded systems
Compiler-decided dynamic memory allocation for scratch-pad based embedded systems
Proceedings of the 2003 international conference on Compilers, architecture and synthesis for embedded systems
Using Interaction Costs for Microarchitectural Bottleneck Analysis
Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture
Matrix bidiagonalization: implementation and evaluation on the Trident processor
Neural, Parallel & Scientific Computations
Two-level branch prediction using neural networks
Journal of Systems Architecture: the EUROMICRO Journal - Special issue: Synthesis and verification
A high performance 32-bit ALU for programmable logic
FPGA '04 Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field programmable gate arrays
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Task Feasibility Analysis and Dynamic Voltage Scaling in Fault-Tolerant Real-Time Embedded Systems
Proceedings of the conference on Design, automation and test in Europe - Volume 2
Improving availability with recursive microreboots: a soft-state system case study
Performance Evaluation - Dependable systems and networks-performance and dependability symposium (DSN-PDS) 2002: Selected papers
A compact DSP core with static floating-point unit & its microcode generation
Proceedings of the 14th ACM Great Lakes symposium on VLSI
Efficient formal verification of pipelined processors with instruction queues
Proceedings of the 14th ACM Great Lakes symposium on VLSI
Multi-profile based code compression
Proceedings of the 41st annual Design Automation Conference
Virtual memory window for application-specific reconfigurable coprocessors
Proceedings of the 41st annual Design Automation Conference
Min-cut program decomposition for thread-level speculation
Proceedings of the ACM SIGPLAN 2004 conference on Programming language design and implementation
Single-ISA Heterogeneous Multi-Core Architectures for Multithreaded Workload Performance
Proceedings of the 31st annual international symposium on Computer architecture
Efficient orchestration of sub-word parallelism in media processors
Proceedings of the sixteenth annual ACM symposium on Parallelism in algorithms and architectures
A way-halting cache for low-energy high-performance systems
Proceedings of the 2004 international symposium on Low power electronics and design
Dynamic voltage and frequency scaling based on workload decomposition
Proceedings of the 2004 international symposium on Low power electronics and design
Efficient translation of boolean formulas to CNF in formal verification of microprocessors
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Using positive equality to prove liveness for pipelined microprocessors
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
2.5D system integration: a design driven system implementation schema
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Exception handling in microprocessors using assertion libraries
SBCCI '04 Proceedings of the 17th symposium on Integrated circuits and system design
Reducing program image size by extracting frozen code and data
Proceedings of the 4th ACM international conference on Embedded software
The structure of chips and links comprising the IBM eServer z990 I/O subsystem
IBM Journal of Research and Development
Interaction cost and shotgun profiling
ACM Transactions on Architecture and Code Optimization (TACO)
A low power architecture for embedded perception
Proceedings of the 2004 international conference on Compilers, architecture, and synthesis for embedded systems
Memory overflow protection for embedded systems using run-time checks, reuse and compression
Proceedings of the 2004 international conference on Compilers, architecture, and synthesis for embedded systems
A Pipeline Architecture for Processing of DNA Microarrays Images
Journal of VLSI Signal Processing Systems
A scalable, clustered SMT processor for digital signal processing
MEDEA '03 Proceedings of the 2003 workshop on MEmory performance: DEaling with Applications , systems and architecture
Fingerprinting: bounding soft-error detection latency and bandwidth
ASPLOS XI Proceedings of the 11th international conference on Architectural support for programming languages and operating systems
The UCSC Kestrel Parallel Processor
IEEE Transactions on Parallel and Distributed Systems
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
Kosha: A Peer-to-Peer Enhancement for the Network File System
Proceedings of the 2004 ACM/IEEE conference on Supercomputing
Design and Implementation of an Efficient Stack Machine
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 3 - Volume 04
Toward an Evaluation Infrastructure for Power and Energy Optimizations
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 11 - Volume 12
An analytical model for software-only main memory compression
WMPI '04 Proceedings of the 3rd workshop on Memory performance issues: in conjunction with the 31st international symposium on computer architecture
A unified processor architecture for RISC & VLIW DSP
GLSVLSI '05 Proceedings of the 15th ACM Great Lakes symposium on VLSI
Seamless Hardware-Software Integration in Reconfigurable Computing Systems
IEEE Design & Test
A way-halting cache for low-energy high-performance systems
ACM Transactions on Architecture and Code Optimization (TACO)
Journal of Parallel and Distributed Computing
The CSI multimedia architecture
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Cooperative multithreading on 3mbedded multiprocessor architectures enables energy-scalable design
Proceedings of the 42nd annual Design Automation Conference
Dynamic functional unit assignment for low power
The Journal of Supercomputing
Energy efficiency of handheld computer interfaces: limits, characterization and practice
Proceedings of the 3rd international conference on Mobile systems, applications, and services
Deconstructing Commodity Storage Clusters
Proceedings of the 32nd annual international symposium on Computer Architecture
Architecture-aware classical Taylor shift by 1
Proceedings of the 2005 international symposium on Symbolic and algebraic computation
Lexicographic QoS scheduling for parallel I/O
Proceedings of the seventeenth annual ACM symposium on Parallelism in algorithms and architectures
Encyclopedia of Computer Science
Data-Dependency Graph Transformations for Instruction Scheduling
Journal of Scheduling
Application of Arithmetic Coding to Compression of VLSI Test Data
IEEE Transactions on Computers
Dynamic pipelining: making IP-lookup truly scalable
Proceedings of the 2005 conference on Applications, technologies, architectures, and protocols for computer communications
A framework for systematic validation and debugging of pipeline simulators
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Distributing synchronous programs using bounded queues
Proceedings of the 5th ACM international conference on Embedded software
The CAN microcluster: Parallel processing over the controller area network
Journal on Educational Resources in Computing (JERIC)
Scheduling speculative tasks in a compute farm
SC '05 Proceedings of the 2005 ACM/IEEE conference on Supercomputing
XFM: An incremental methodology for developing formal models
ACM Transactions on Design Automation of Electronic Systems (TODAES)
A Wireless MAC Protocol Using Implicit Pipelining
IEEE Transactions on Mobile Computing
A 52mW 1200MIPS compact DSP for multi-core media SoC
ASP-DAC '06 Proceedings of the 2006 Asia and South Pacific Design Automation Conference
Comparison of schemes for encoding unobservability in translation to SAT
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Fault tolerant nanoelectronic processor architectures
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Using Abstraction for Efficient Formal Verification of Pipelined Processors with Value Prediction
ISQED '06 Proceedings of the 7th International Symposium on Quality Electronic Design
A Simulation-Based Soft Error Estimation Methodology for Computer Systems
ISQED '06 Proceedings of the 7th International Symposium on Quality Electronic Design
Formal Verification of Pipelined Microprocessors with Delayed Branches
ISQED '06 Proceedings of the 7th International Symposium on Quality Electronic Design
Test generation using SAT-based bounded model checking for validation of pipelined processors
GLSVLSI '06 Proceedings of the 16th ACM Great Lakes symposium on VLSI
Self-Stabilizing Microprocessor: Analyzing and Overcoming Soft Errors
IEEE Transactions on Computers
IEEE Micro
Intelligent memory manager: reducing cache pollution due to memory management functions
Journal of Systems Architecture: the EUROMICRO Journal
A Compact DSP Core with Static Floating-Point Arithmetic
Journal of VLSI Signal Processing Systems
Profile-guided proactive garbage collection for locality optimization
Proceedings of the 2006 ACM SIGPLAN conference on Programming language design and implementation
XFM: extreme formal method for capturing formal specification into abstract models
Formal methods and models for system design
CEFT: A cost-effective, fault-tolerant parallel virtual file system
Journal of Parallel and Distributed Computing
NPCryptBench: a cryptographic benchmark suite for network processors
MEDEA '05 Proceedings of the 2005 workshop on MEmory performance: DEaling with Applications , systems and architecture
Energy-efficient instruction scheduling utilizing cache miss information
MEDEA '05 Proceedings of the 2005 workshop on MEmory performance: DEaling with Applications , systems and architecture
Analysis of embedded video coder systems: a system-level approach
MEDEA '05 Proceedings of the 2005 workshop on MEmory performance: DEaling with Applications , systems and architecture
Future Generation Computer Systems - Systems performance analysis and evaluation
Memory and Network Bandwidth Aware Scheduling of Multiprogrammed Workloads on Clusters of SMPs
ICPADS '06 Proceedings of the 12th International Conference on Parallel and Distributed Systems - Volume 1
DPPC-RE: TCAM-Based Distributed Parallel Packet Classification with Range Encoding
IEEE Transactions on Computers
A comparison of software and hardware techniques for x86 virtualization
Proceedings of the 12th international conference on Architectural support for programming languages and operating systems
Performance evaluation of ring-structure register file in multimedia applications
ICME '03 Proceedings of the 2003 International Conference on Multimedia and Expo - Volume 2
Adaptive object code compression
CASES '06 Proceedings of the 2006 international conference on Compilers, architecture and synthesis for embedded systems
Using random sampling to build approximate tries for efficient string sorting
Journal of Experimental Algorithmics (JEA)
Cache-efficient string sorting using copying
Journal of Experimental Algorithmics (JEA)
CellSs: a programming model for the cell BE architecture
Proceedings of the 2006 ACM/IEEE conference on Supercomputing
Data-Dependency Graph Transformations for Superblock Scheduling
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture
Memory overflow protection for embedded systems using run-time checks, reuse, and compression
ACM Transactions on Embedded Computing Systems (TECS)
ALP: Efficient support for all levels of parallelism for complex media applications
ACM Transactions on Architecture and Code Optimization (TACO)
A two-level reconfigurable architecture for digital signal processing
Microelectronic Engineering
Adjusted fair scheduling and non-linear workload prediction for QoS guarantees in grid computing
Computer Communications
A Complexity O(1) priority queue for event driven molecular dynamics simulations
Journal of Computational Physics
Efficient query expansion with auxiliary data structures
Information Systems
Project the wiki way: using wiki for computer science course project management
Journal of Computing Sciences in Colleges - Papers of the twelfth annual CCSC Northeastern Conference
Loop pipelining for high-throughput stream computation using self-timed rings
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Heap data allocation to scratch-pad memory in embedded systems
Journal of Embedded Computing - Cache exploitation in embedded systems
Proceedings of the 34th annual international symposium on Computer architecture
Aggressive prefetching: an idea whose time has come
HOTOS'05 Proceedings of the 10th conference on Hot Topics in Operating Systems - Volume 10
Path-based faliure and evolution management
NSDI'04 Proceedings of the 1st conference on Symposium on Networked Systems Design and Implementation - Volume 1
The Impact of Higher Communication Layers on NoC Supported MP-SoCs
NOCS '07 Proceedings of the First International Symposium on Networks-on-Chip
Towards Nanoelectronics Processor Architectures
Journal of Electronic Testing: Theory and Applications
Proceedings of the conference on Design, automation and test in Europe
A low-SER efficient core processor architecture for future technologies
Proceedings of the conference on Design, automation and test in Europe
Task scheduling for reliable cache architectures of multiprocessor systems
Proceedings of the conference on Design, automation and test in Europe
IEEE Transactions on Computers
Scheduling FFT computation on SMP and multicore systems
Proceedings of the 21st annual international conference on Supercomputing
An active learning environment for intermediate computer architecture courses
WCAE '02 Proceedings of the 2002 workshop on Computer architecture education: Held in conjunction with the 29th International Symposium on Computer Architecture
MipsIt: a simulation and development environment using animation for computer architecture education
WCAE '02 Proceedings of the 2002 workshop on Computer architecture education: Held in conjunction with the 29th International Symposium on Computer Architecture
Intel® Itanium® floating-point architecture
WCAE '03 Proceedings of the 2003 workshop on Computer architecture education: Held in conjunction with the 30th International Symposium on Computer Architecture
DOP: a CPU core for teaching basics of computer architecture
WCAE '03 Proceedings of the 2003 workshop on Computer architecture education: Held in conjunction with the 30th International Symposium on Computer Architecture
Teaching basics of instruction pipelining with HDLDLX
WCAE '04 Proceedings of the 2004 workshop on Computer architecture education: held in conjunction with the 31st International Symposium on Computer Architecture
WebMIPS: a new web-based MIPS simulation environment for computer architecture education
WCAE '04 Proceedings of the 2004 workshop on Computer architecture education: held in conjunction with the 31st International Symposium on Computer Architecture
Web memory hierarchy learning and research environment
WCAE '06 Proceedings of the 2006 workshop on Computer architecture education: held in conjunction with the 33rd International Symposium on Computer Architecture
Design and analysis of a load balancing strategy in data grids
Future Generation Computer Systems - Special section: Data mining in grid computing environments
Reducing off-chip memory access costs using data recomputation in embedded chip multi-processors
Proceedings of the 44th annual Design Automation Conference
Recursive function data allocation to scratch-pad memory
CASES '07 Proceedings of the 2007 international conference on Compilers, architecture, and synthesis for embedded systems
Hybrid Runtime Management of Space-Time Heterogeneity for Parallel Structured Adaptive Applications
IEEE Transactions on Parallel and Distributed Systems
An approach to grid resource selection and fault management based on ECA rules
Future Generation Computer Systems
Language engineering in the context of a popular, inexpensive robot platform
Proceedings of the 39th SIGCSE technical symposium on Computer science education
A modular approach to language engineering using XML and inexpensive robots
Journal of Computing Sciences in Colleges
Fast indexing for blocked array layouts to reduce cache misses
International Journal of High Performance Computing and Networking
Speeding-up multiprocessors running DBMS workloads through coherence protocols
International Journal of High Performance Computing and Networking
The scaling problem in the pattern recognition approach to machine translation
Pattern Recognition Letters
A Java processor architecture for embedded real-time systems
Journal of Systems Architecture: the EUROMICRO Journal
Cache-oblivious databases: Limitations and opportunities
ACM Transactions on Database Systems (TODS)
Modeling and evaluating heterogeneous memory architectures by trace-driven simulation
Proceedings of the 2008 workshop on Memory access on future processors: a solved problem?
Advances in c-based parallel design of MP-SOCs
SMO'06 Proceedings of the 6th WSEAS International Conference on Simulation, Modelling and Optimization
Journal of Signal Processing Systems
MTSS: Multitask stack sharing for embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
Cache-efficient dynamic programming algorithms for multicores
Proceedings of the twentieth annual symposium on Parallelism in algorithms and architectures
A dollar from 15 cents: cross-platform management for internet services
ATC'08 USENIX 2008 Annual Technical Conference on Annual Technical Conference
Approximate String Matching with Address Bit Errors
CPM '08 Proceedings of the 19th annual symposium on Combinatorial Pattern Matching
Design of Intelligent PID Controller Based on Adaptive Genetic Algorithm and Implementation of FPGA
ISNN '08 Proceedings of the 5th international symposium on Neural Networks: Advances in Neural Networks, Part II
Specification-based compaction of directed tests for functional validation of pipelined processors
CODES+ISSS '08 Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis
FPGA-based System for Real-Time Video Texture Analysis
Journal of Signal Processing Systems
Clusters Versus FPGA for Parallel Processing of Hyperspectral Imagery
International Journal of High Performance Computing Applications
VEP: a virtual machine for extended proof-carrying code
Proceedings of the 1st ACM workshop on Virtual machine security
How to Write Fast Numerical Code: A Small Introduction
Generative and Transformational Techniques in Software Engineering II
Embedded DSP Processor Design: Application Specific Instruction Set Processors
Embedded DSP Processor Design: Application Specific Instruction Set Processors
Roofline: an insightful visual performance model for multicore architectures
Communications of the ACM - A Direct Path to Dependable Software
A new system architecture for crowd simulation
Journal of Network and Computer Applications
FlexDCP: a QoS framework for CMP architectures
ACM SIGOPS Operating Systems Review
ACM SIGBED Review
The impact of speculative execution on SMT processors
International Journal of Parallel Programming
Linux Kernel Compaction through Cold Code Swapping
Transactions on High-Performance Embedded Architectures and Compilers II
Complexity Effective Bypass Networks
Transactions on High-Performance Embedded Architectures and Compilers II
On approximating the ideal random access machine by physical machines
Journal of the ACM (JACM)
Reconfigurable Computing: The Theory and Practice of FPGA-Based Computation
Reconfigurable Computing: The Theory and Practice of FPGA-Based Computation
Automatic vectorization using dynamic compilation and tree pattern matching technique in Jikes RVM
Proceedings of the 4th workshop on the Implementation, Compilation, Optimization of Object-Oriented Languages and Programming Systems
Reconfiguration of IIR filters in response to computer resource availability
ACM Transactions on Embedded Computing Systems (TECS)
Technical Section: CHuMI viewer: Compressive huge mesh interactive viewer
Computers and Graphics
Performance Improvement of Multimedia Kernels by Alleviating Overhead Instructions on SIMD Devices
APPT '09 Proceedings of the 8th International Symposium on Advanced Parallel Processing Technologies
Supporting RTL flow compatibility in a microarchitecture-level design framework
CODES+ISSS '09 Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis
Approximate string matching with address bit errors
Theoretical Computer Science
Cache-optimal algorithms for option pricing
ACM Transactions on Mathematical Software (TOMS)
Hazard avoidance in wireless sensor and actor networks
Computer Communications
Real-time NURBS interpolation using FPGA for high speed motion control
Computer-Aided Design
Access region cache with register guided memory reference partitioning
Journal of Systems Architecture: the EUROMICRO Journal
Effective implementation of the cell broadband engine™ isolation loader
Proceedings of the 16th ACM conference on Computer and communications security
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Proceedings of the 41st ACM technical symposium on Computer science education
A preliminary comparative survey of computer architecture courses across the nation's top schools
Journal of Computing Sciences in Colleges
Locating cache performance bottlenecks using data profiling
Proceedings of the 5th European conference on Computer systems
A linear genetic programming approach to intrusion detection
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
General purpose processor architecture for modeling stochastic biological neuronal assemblies
ICES'03 Proceedings of the 5th international conference on Evolvable systems: from biology to hardware
A Novel Redundant Binary Number to Natural Binary Number Converter
Journal of Signal Processing Systems
Proceedings of the 7th ACM international conference on Computing frontiers
Pure, declarative, and constructive arithmetic relations (declarative pearl)
FLOPS'08 Proceedings of the 9th international conference on Functional and logic programming
VariPipe: low-overhead variable-clock synchronous pipelines
ICCD'09 Proceedings of the 2009 IEEE international conference on Computer design
Reconfiguration support for vector operations
International Journal of High Performance Systems Architecture
Dual channel addition based FFT processor architecture for signal and image processing
International Journal of High Performance Systems Architecture
Study of Algorithmic and Architectural Characteristics of Gaussian Particle Filters
Journal of Signal Processing Systems
Wireless network cloud: architecture and system requirements
IBM Journal of Research and Development
The embedded Java benchmark suite JemBench
Proceedings of the 8th International Workshop on Java Technologies for Real-Time and Embedded Systems
A method for debugging of pipelined processors in formal verification by correspondence checking
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
Approximate string matching with stuck address bits
SPIRE'10 Proceedings of the 17th international conference on String processing and information retrieval
Method for formal verification of soft-error tolerance mechanisms in pipelined microprocessors
ICFEM'10 Proceedings of the 12th international conference on Formal engineering methods and software engineering
Virtual memory window for application-specific reconfigurable coprocessors
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
2.5-Dimensional VLSI system integration
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Approximate string matching with stuck address bits
Theoretical Computer Science
Parallelism and data movement characterization of contemporary application classes
Proceedings of the twenty-third annual ACM symposium on Parallelism in algorithms and architectures
Experimental analysis of logical process simulation algorithms in JAMES II
Winter Simulation Conference
PERCS: the IBM power7-IH high-performance computing system
IBM Journal of Research and Development
A novel chaining approach to indirect control transfer instructions
ARES'11 Proceedings of the IFIP WG 8.4/8.9 international cross domain conference on Availability, reliability and security for business, enterprise and health information systems
A Hardware Abstraction Layer in Java
ACM Transactions on Embedded Computing Systems (TECS)
ACM Transactions on Embedded Computing Systems (TECS)
On the usage of GPUs for efficient motion estimation in medical image sequences
Journal of Biomedical Imaging - Special issue on Parallel Computation in Medical Imaging Applications
ICFEM'11 Proceedings of the 13th international conference on Formal methods and software engineering
Parallel processing applied on the electric grounding systems design
ISPA'06 Proceedings of the 2006 international conference on Frontiers of High Performance Computing and Networking
Recovery logics for speculative update global and local branch history
ISCIS'06 Proceedings of the 21st international conference on Computer and Information Sciences
ACSAC'06 Proceedings of the 11th Asia-Pacific conference on Advances in Computer Systems Architecture
ACIVS'06 Proceedings of the 8th international conference on Advanced Concepts For Intelligent Vision Systems
Distributed architecture system for computer performance testing
PPAM'05 Proceedings of the 6th international conference on Parallel Processing and Applied Mathematics
Tuning blocked array layouts to exploit memory hierarchy in SMT architectures
PCI'05 Proceedings of the 10th Panhellenic conference on Advances in Informatics
CPM'06 Proceedings of the 17th Annual conference on Combinatorial Pattern Matching
A hybrid scheme for object allocation in a distributed object-storage system
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part IV
Accuracy enhancement by selective use of branch history in embedded processor
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part IV
The design and performance analysis of embedded parallel multiprocessing system
ICESS'04 Proceedings of the First international conference on Embedded Software and Systems
A space-efficient on-chip compressed cache organization for high performance computing
ISPA'04 Proceedings of the Second international conference on Parallel and Distributed Processing and Applications
Automatic formal verification of multithreaded pipelined microprocessors
Proceedings of the International Conference on Computer-Aided Design
Single FU bypass networks for high clock rate superscalar processors
HiPC'04 Proceedings of the 11th international conference on High Performance Computing
Automatic formal verification of liveness for pipelined processors with multicycle functional units
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
String rearrangement metrics: a survey
Algorithms and Applications
A trustworthy monadic formalization of the ARMv7 instruction set architecture
ITP'10 Proceedings of the First international conference on Interactive Theorem Proving
Proceedings of the 49th Annual Design Automation Conference
Mathematical model of stored logic based computation
Mathematical and Computer Modelling: An International Journal
Hardware-software coherence protocol for the coexistence of caches and local memories
SC '12 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
The Journal of Supercomputing
Reducing Power and Energy Overhead in Instruction Prefetching for Embedded Processor Systems
International Journal of Handheld Computing Research
A high performance NAND array file system based on multiple NAND flash memories
The Journal of Supercomputing
DIMVA'12 Proceedings of the 9th international conference on Detection of Intrusions and Malware, and Vulnerability Assessment
International Journal of Distributed Systems and Technologies
A hyperscalar dual-core architecture for embedded systems
Microprocessors & Microsystems
Performance and power profiling for emulated Android systems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Hi-index | 0.02 |
This best-selling title, considered for over a decade to be essential reading for every serious student and practitioner of computer design, has been updated throughout to address the most important trends facing computer designers today. In this edition, the authors bring their trademark method of quantitative analysis not only to high-performance desktop machine design, but also to the design of embedded and server systems. They have illustrated their principles with designs from all three of these domains, including examples from consumer electronics, multimedia and Web technologies, and high-performance computing.