Communicating sequential processes
Communicating sequential processes
Discrete Basis and Computation of Elementary Functions
IEEE Transactions on Computers
Bulldog: a compiler for VLSI architectures
Bulldog: a compiler for VLSI architectures
Compilers: principles, techniques, and tools
Compilers: principles, techniques, and tools
Cellular automata machines: a new environment for modeling
Cellular automata machines: a new environment for modeling
Microelectronic circuits, 2nd ed.
Microelectronic circuits, 2nd ed.
VLSI array processors
Arithmetic for an SVD processor
Journal of Parallel and Distributed Computing - Parallelism in Computer Arithmetic
A contraction algorithm for finding small cycle cutsets
Journal of Algorithms
The connection machine
the LINPACK benchmark: an explanation
Proceedings of the 1st International Conference on Supercomputing
Executing a Program on the MIT Tagged-Token Dataflow Architecture
IEEE Transactions on Computers
A bridging model for parallel computation
Communications of the ACM
Introduction to algorithms
Knapsack problems: algorithms and computer implementations
Knapsack problems: algorithms and computer implementations
Building and Using a Highly Parallel Programmable Logic Array
Computer - Special issue on experimental research in computer architecture
VLSI cell placement techniques
ACM Computing Surveys (CSUR)
A new addition scheme and fast scaling factor compensation methods for CORDIC algorithms
Integration, the VLSI Journal
Expanding the Range of Convergence of the CORDIC Algorithm
IEEE Transactions on Computers
Redundant CORDIC Methods with a Constant Scale Factor for Sine and Cosine Computation
IEEE Transactions on Computers
Chortle-crf: Fast technology mapping for lookup table-based FPGAs
DAC '91 Proceedings of the 28th ACM/IEEE Design Automation Conference
Modern operating systems
Genetic programming: on the programming of computers by means of natural selection
Genetic programming: on the programming of computers by means of natural selection
Constant-Factor Redundant CORDIC for Angle Calculation and Rotation
IEEE Transactions on Computers - Special issue on computer arithmetic
Synthesis: an efficient implementation of fundamental operating system services
Synthesis: an efficient implementation of fundamental operating system services
Effective compiler support for predicated execution using the hyperblock
MICRO 25 Proceedings of the 25th annual international symposium on Microarchitecture
An optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs
ICCAD '92 1992 IEEE/ACM international conference proceedings on Computer-aided design
Specification partitioning for system design
DAC '92 Proceedings of the 29th ACM/IEEE Design Automation Conference
Iterative and adaptive slack allocation for performance-driven layout and FPGA routing
DAC '92 Proceedings of the 29th ACM/IEEE Design Automation Conference
Plane parallel a maze router and its application to FPGAs
DAC '92 Proceedings of the 29th ACM/IEEE Design Automation Conference
FIR filters with field-programmable gate arrays
Journal of VLSI Signal Processing Systems - Special issue on field-programmable gate arrays
Programmable active memories: a performance assessment
Proceedings of the 1993 symposium on Research on integrated systems
TAM—a compiler controlled threaded abstract machine
Journal of Parallel and Distributed Computing - Special issue on dataflow and multithreaded architectures
The J-machine multicomputer: an architectural evaluation
ISCA '93 Proceedings of the 20th annual international symposium on computer architecture
Evolving hardware with genetic learning: a first step towards building a Darwin machine
Proceedings of the second international conference on From animals to animats 2 : simulation of adaptive behavior: simulation of adaptive behavior
Communication systems engineering
Communication systems engineering
Proceedings of the international conference on Programming languages and system architectures
C, a reference manual (4th ed.)
C, a reference manual (4th ed.)
Specification and design of embedded systems
Specification and design of embedded systems
Implementation of the data encryption standard algorithm with FPGAs
Selected papers from the Oxford 1993 international workshop on field programmable logic and applications on More FPGAs
Edge-map: optimal performance driven technology mapping for iterative LUT based FPGA designs
ICCAD '94 Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design
ICCAD '94 Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design
RISA: accurate and efficient placement routability modeling
ICCAD '94 Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design
A high-performance microarchitecture with hardware-programmable functional units
MICRO 27 Proceedings of the 27th annual international symposium on Microarchitecture
DCG: an efficient, retargetable dynamic code generation system
ASPLOS VI Proceedings of the sixth international conference on Architectural support for programming languages and operating systems
An architecture-independent approach to FPGA routing based on multi-weighted graphs
EURO-DAC '94 Proceedings of the conference on European design automation
Logic partition orderings for multi-FPGA systems
FPGA '95 Proceedings of the 1995 ACM third international symposium on Field-programmable gate arrays
Simultaneous depth and area minimization in LUT-based FPGA mapping
FPGA '95 Proceedings of the 1995 ACM third international symposium on Field-programmable gate arrays
PathFinder: a negotiation-based performance-driven router for FPGAs
FPGA '95 Proceedings of the 1995 ACM third international symposium on Field-programmable gate arrays
Multiple FPGA partitioning with performance optimization
FPGA '95 Proceedings of the 1995 ACM third international symposium on Field-programmable gate arrays
Incremental hardware estimation during hardware/software functional partitioning
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Data-parallel C on a reconfigurable logic array
The Journal of Supercomputing - Special issue on field programmable gate arrays
Placement and routing tools for the Triptych FPGA
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
The triptych FPGA architecture
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Simultaneous multithreading: maximizing on-chip parallelism
ISCA '95 Proceedings of the 22nd annual international symposium on Computer architecture
Universal switch modules for FPGA design
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Spert-II: A Vector Microprocessor System
Computer - Special issue: neural computing: companion issue to Spring 1996 IEEE Computational Science & Engineering
Plasma: an FPGA for million gate systems
Proceedings of the 1996 ACM fourth international symposium on Field-programmable gate arrays
Area-speed tradeoffs for hierarchical field-programmable gate arrays
Proceedings of the 1996 ACM fourth international symposium on Field-programmable gate arrays
Technology mapping of sequential circuits for LUT-based FPGAs for performance
Proceedings of the 1996 ACM fourth international symposium on Field-programmable gate arrays
Entropy, counting, and programmable interconnect
Proceedings of the 1996 ACM fourth international symposium on Field-programmable gate arrays
DPGA utilization and application
Proceedings of the 1996 ACM fourth international symposium on Field-programmable gate arrays
Sequencing run-time reconfigured hardware with software
Proceedings of the 1996 ACM fourth international symposium on Field-programmable gate arrays
Computing the discrete Fourier transform on FPGA based systolic arrays
Proceedings of the 1996 ACM fourth international symposium on Field-programmable gate arrays
RASP: a general logic synthesis system for SRAM-based FPGAs
Proceedings of the 1996 ACM fourth international symposium on Field-programmable gate arrays
Emerald: an architecture-driven tool compiler for FPGAs
Proceedings of the 1996 ACM fourth international symposium on Field-programmable gate arrays
Structured design implementation: a strategy for implementing regular datapaths on FPGAs
Proceedings of the 1996 ACM fourth international symposium on Field-programmable gate arrays
Evolutionary algorithms in theory and practice: evolution strategies, evolutionary programming, genetic algorithms
An introduction to genetic algorithms
An introduction to genetic algorithms
Software architecture: perspectives on an emerging discipline
Software architecture: perspectives on an emerging discipline
Optimizing ML with run-time code generation
PLDI '96 Proceedings of the ACM SIGPLAN 1996 conference on Programming language design and implementation
Combinational logic synthesis for LUT based field programmable gate arrays
ACM Transactions on Design Automation of Electronic Systems (TODAES)
New spectral linear placement and clustering approach
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Module compaction in FPGA-based regular datapaths
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Efficient software performance estimation methods for hardware/software codesign
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Optimal clock period FPGA technology mapping for sequential circuits
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Structural gate decomposition for depth-optimal technology mapping in LUT-based FPGA design
DAC '96 Proceedings of the 33rd annual Design Automation Conference
A Boolean approach to performance-directed technology mapping for LUT-based FPGA designs
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Three-dimensional perfectly matched layer for the absorption of electromagnetic waves
Journal of Computational Physics
Approximation algorithms for NP-hard problems
Approximation algorithms for NP-hard problems
The DSP decision: fixed point or floating?
IEEE Spectrum
ICS '90 Proceedings of the 4th international conference on Supercomputing
Improving functional density through run-time constant propagation
FPGA '97 Proceedings of the 1997 ACM fifth international symposium on Field-programmable gate arrays
Beyond the combinatorial limit in depth minimization for LUT-based FPGA designs
ICCAD '93 Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design
Combining technology mapping and placement for delay-optimization in FPGA designs
ICCAD '93 Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design
FPGA synthesis with retiming and pipelining for clock period minimization of sequential circuits
DAC '97 Proceedings of the 34th annual Design Automation Conference
A hardware/software partitioner using a dynamically determined granularity
DAC '97 Proceedings of the 34th annual Design Automation Conference
A new retiming-based technology mapping algorithm for LUT-based FPGAs
FPGA '98 Proceedings of the 1998 ACM/SIGDA sixth international symposium on Field programmable gate arrays
Configuration prefetch for single context reconfigurable coprocessors
FPGA '98 Proceedings of the 1998 ACM/SIGDA sixth international symposium on Field programmable gate arrays
Fast module mapping and placement for datapaths in FPGAs
FPGA '98 Proceedings of the 1998 ACM/SIGDA sixth international symposium on Field programmable gate arrays
Fast integrated tools for circuit design with FPGAs
FPGA '98 Proceedings of the 1998 ACM/SIGDA sixth international symposium on Field programmable gate arrays
A fast routability-driven router for FPGAs
FPGA '98 Proceedings of the 1998 ACM/SIGDA sixth international symposium on Field programmable gate arrays
SMAP: heterogeneous technology mapping for area reduction in FPGAs with embedded memory arrays
FPGA '98 Proceedings of the 1998 ACM/SIGDA sixth international symposium on Field programmable gate arrays
Technology mapping for FPGAs with embedded memory blocks
FPGA '98 Proceedings of the 1998 ACM/SIGDA sixth international symposium on Field programmable gate arrays
FPGA '98 Proceedings of the 1998 ACM/SIGDA sixth international symposium on Field programmable gate arrays
The student's guide to VHDL
Enhanced visibility and performance in functional verification by reconstruction
DAC '98 Proceedings of the 35th annual Design Automation Conference
A framework for estimation and minimizing energy dissipation of embedded HW/SW systems
DAC '98 Proceedings of the 35th annual Design Automation Conference
DAC '98 Proceedings of the 35th annual Design Automation Conference
Optimal FPGA mapping and retiming with efficient initial state computation
DAC '98 Proceedings of the 35th annual Design Automation Conference
Technology mapping for large complex PLDs
DAC '98 Proceedings of the 35th annual Design Automation Conference
Delay-optimal technology mapping for FPGAs with heterogeneous LUTs
DAC '98 Proceedings of the 35th annual Design Automation Conference
Exact tree-based FPGA technology mapping for logic blocks with independent LUTs
DAC '98 Proceedings of the 35th annual Design Automation Conference
Low overhead fault-tolerant FPGA systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Testing configurable LUT-based FPGA's
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Active pages: a computation model for intelligent memory
Proceedings of the 25th annual international symposium on Computer architecture
Integrated predicated and speculative execution in the IMPACT EPIC architecture
Proceedings of the 25th annual international symposium on Computer architecture
Performance of a new annealing schedule
DAC '88 Proceedings of the 25th ACM/IEEE Design Automation Conference
Advanced compiler design and implementation
Advanced compiler design and implementation
Delay-oriented technology mapping for heterogeneous FPGAs with bounded resources
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
Lava: hardware design in Haskell
ICFP '98 Proceedings of the third ACM SIGPLAN international conference on Functional programming
Space-time scheduling of instruction-level parallelism on a raw machine
Proceedings of the eighth international conference on Architectural support for programming languages and operating systems
Optimal clock period FPGA technology mapping for sequential circuits
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Cut ranking and pruning: enabling a general and efficient FPGA mapping solution
FPGA '99 Proceedings of the 1999 ACM/SIGDA seventh international symposium on Field programmable gate arrays
Using cluster-based logic blocks and timing-driven packing to improve FPGA speed and density
FPGA '99 Proceedings of the 1999 ACM/SIGDA seventh international symposium on Field programmable gate arrays
A methodology for fast FPGA floorplanning
FPGA '99 Proceedings of the 1999 ACM/SIGDA seventh international symposium on Field programmable gate arrays
FPGA '99 Proceedings of the 1999 ACM/SIGDA seventh international symposium on Field programmable gate arrays
Don't Care discovery for FPGA configuration compression
FPGA '99 Proceedings of the 1999 ACM/SIGDA seventh international symposium on Field programmable gate arrays
HSRA: high-speed, hierarchical synchronous reconfigurable array
FPGA '99 Proceedings of the 1999 ACM/SIGDA seventh international symposium on Field programmable gate arrays
Memory interfacing and instruction specification for reconfigurable processors
FPGA '99 Proceedings of the 1999 ACM/SIGDA seventh international symposium on Field programmable gate arrays
Trading quality for compile time: ultra-fast placement for FPGAs
FPGA '99 Proceedings of the 1999 ACM/SIGDA seventh international symposium on Field programmable gate arrays
PipeRench: a co/processor for streaming multimedia acceleration
ISCA '99 Proceedings of the 26th annual international symposium on Computer architecture
GRASP: A Search Algorithm for Propositional Satisfiability
IEEE Transactions on Computers
Partitioning Sequential Circuits on Dynamically Reconfigurable FPGAs
IEEE Transactions on Computers
The GRD Chip: Genetic Reconfiguration of DSPs for Neural Network Processing
IEEE Transactions on Computers
The design of an SRAM-based field-programmable gate array—part I: architecture
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
An evolvable hardware chip and its application as a multi-function prosthetic hand controller
AAAI '99/IAAI '99 Proceedings of the sixteenth national conference on Artificial intelligence and the eleventh Innovative applications of artificial intelligence conference innovative applications of artificial intelligence
Quantum computing applications of genetic programming
Advances in genetic programming
Computer arithmetic: algorithms and hardware designs
Computer arithmetic: algorithms and hardware designs
Exploiting ILP in page-based intelligent memory
Proceedings of the 32nd annual ACM/IEEE international symposium on Microarchitecture
A Computing Procedure for Quantification Theory
Journal of the ACM (JACM)
Technology mapping issues for an FPGA with lookup tables and PLA-like blocks
FPGA '00 Proceedings of the 2000 ACM/SIGDA eighth international symposium on Field programmable gate arrays
Tolerating operational faults in cluster-based FPGAs
FPGA '00 Proceedings of the 2000 ACM/SIGDA eighth international symposium on Field programmable gate arrays
Timing-driven placement for FPGAs
FPGA '00 Proceedings of the 2000 ACM/SIGDA eighth international symposium on Field programmable gate arrays
Parallel algorithms for FPGA placement
GLSVLSI '00 Proceedings of the 10th Great Lakes symposium on VLSI
High-performance carry chains for FPGA's
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
MorphoSys: case study of a reconfigurable computing system targeting multimedia applications
Proceedings of the 37th Annual Design Automation Conference
CHIMAERA: a high-performance architecture with a tightly-coupled reconfigurable functional unit
Proceedings of the 27th annual international symposium on Computer architecture
Clock rate versus IPC: the end of the road for conventional microarchitectures
Proceedings of the 27th annual international symposium on Computer architecture
A clustering- and probability-based approach for time-multiplexed FPGA partitioning
ICCAD '99 Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design
IEEE Transactions on Computers
Adapting software pipelining for reconfigurable computing
CASES '00 Proceedings of the 2000 international conference on Compilers, architecture, and synthesis for embedded systems
PipeRench implementation of the instruction path coprocessor
Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture
Runtime and quality tradeoffs in FPGA placement and routing
FPGA '01 Proceedings of the 2001 ACM/SIGDA ninth international symposium on Field programmable gate arrays
Simultaneous logic decomposition with technology mapping in FPGA designs
FPGA '01 Proceedings of the 2001 ACM/SIGDA ninth international symposium on Field programmable gate arrays
Attacking the semantic gap between application programming languages and configurable hardware
FPGA '01 Proceedings of the 2001 ACM/SIGDA ninth international symposium on Field programmable gate arrays
The effect of reconfigurable units in superscalar processors
FPGA '01 Proceedings of the 2001 ACM/SIGDA ninth international symposium on Field programmable gate arrays
Configuration compression for FPGA-based embedded systems
FPGA '01 Proceedings of the 2001 ACM/SIGDA ninth international symposium on Field programmable gate arrays
A pipelined architecture for partitioned DWT based lossy image compression using FPGA's
FPGA '01 Proceedings of the 2001 ACM/SIGDA ninth international symposium on Field programmable gate arrays
Proceedings of the conference on Design, automation and test in Europe
Power minization in LUT-based FPGA technology mapping
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
Solving satisfiability problems using reconfigurable computing
IEEE Transactions on Very Large Scale Integration (VLSI) Systems - Special issue on low power electronics and design
IEEE Transactions on Very Large Scale Integration (VLSI) Systems - Special issue on low power electronics and design
Re-configurable computing in wireless
Proceedings of the 38th annual Design Automation Conference
On optimum switch box designs for 2-D FPGAs
Proceedings of the 38th annual Design Automation Conference
Chaff: engineering an efficient SAT solver
Proceedings of the 38th annual Design Automation Conference
A transaction-based unified simulation/emulation architecture for functional verification
Proceedings of the 38th annual Design Automation Conference
NanoFabrics: spatial computing using molecular electronics
ISCA '01 Proceedings of the 28th annual international symposium on Computer architecture
FPGA switch block layout and evaluation
FPGA '02 Proceedings of the 2002 ACM/SIGDA tenth international symposium on Field-programmable gate arrays
A faster distributed arithmetic architecture for FPGAs
FPGA '02 Proceedings of the 2002 ACM/SIGDA tenth international symposium on Field-programmable gate arrays
A flexible floating-point format for optimizing data-paths and operators in FPGA based DSPs
FPGA '02 Proceedings of the 2002 ACM/SIGDA tenth international symposium on Field-programmable gate arrays
Efficient circuit clustering for area and power reduction in FPGAs
FPGA '02 Proceedings of the 2002 ACM/SIGDA tenth international symposium on Field-programmable gate arrays
Integrated retiming and placement for field programmable gate arrays
FPGA '02 Proceedings of the 2002 ACM/SIGDA tenth international symposium on Field-programmable gate arrays
Cryptographic rights management of FPGA intellectual property cores
FPGA '02 Proceedings of the 2002 ACM/SIGDA tenth international symposium on Field-programmable gate arrays
Dynamic power consumption in Virtex™-II FPGA family
FPGA '02 Proceedings of the 2002 ACM/SIGDA tenth international symposium on Field-programmable gate arrays
FPGA '02 Proceedings of the 2002 ACM/SIGDA tenth international symposium on Field-programmable gate arrays
Analysis of quasi-static scheduling techniques in a virtualized reconfigurable machine
FPGA '02 Proceedings of the 2002 ACM/SIGDA tenth international symposium on Field-programmable gate arrays
A framework for reconfigurable computing: task scheduling and context management
IEEE Transactions on Very Large Scale Integration (VLSI) Systems - System Level Design
Reconfigurable computing: a survey of systems and software
ACM Computing Surveys (CSUR)
Compilation for Adaptive Computing Systems Using Complex Parameterized Hardware Objects
The Journal of Supercomputing
Dynamic hardware plugins in an FPGA with partial run-time reconfiguration
Proceedings of the 39th annual Design Automation Conference
The architecture of the DIVA processing-in-memory chip
ICS '02 Proceedings of the 16th international conference on Supercomputing
System Design with SystemC
Evolution of Parallel Cellular Machines: The Cellular Programming Approach
Evolution of Parallel Cellular Machines: The Cellular Programming Approach
The Simple Genetic Algorithm: Foundations and Theory
The Simple Genetic Algorithm: Foundations and Theory
Real-Time Systems: Design Principles for Distributed Embedded Applications
Real-Time Systems: Design Principles for Distributed Embedded Applications
Parallel Supercomputing in SIMD Architectures
Parallel Supercomputing in SIMD Architectures
Computer Arithmetic: Principles, Architecture and Design
Computer Arithmetic: Principles, Architecture and Design
Synthesis and Optimization of Digital Circuits
Synthesis and Optimization of Digital Circuits
Fast placement approaches for FPGAs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
The first real operating system for reconfigurable computers
ACSAC '01 Proceedings of the 6th Australasian conference on Computer systems architecture
MPI: The Complete Reference
Hard Real-Time Computing Systems: Predictable Scheduling Algorithms and Applications
Hard Real-Time Computing Systems: Predictable Scheduling Algorithms and Applications
Architecture and CAD for Deep-Submicron FPGAs
Architecture and CAD for Deep-Submicron FPGAs
DRAM Circuit Design: A Tutorial
DRAM Circuit Design: A Tutorial
The Designer's Guide to VHDL
Conversion of control dependence to data dependence
POPL '83 Proceedings of the 10th ACM SIGACT-SIGPLAN symposium on Principles of programming languages
Computer architecture: a quantitative approach
Computer architecture: a quantitative approach
Digital Image Processing
Error-Correction Coding for Digital Communications
Error-Correction Coding for Digital Communications
Theory of Information and Coding
Theory of Information and Coding
Introduction to Algorithms
Cooperative Mobile Robotics: Antecedents and Directions
Autonomous Robots
Reconfigurable Computing for Digital Signal Processing: A Survey
Journal of VLSI Signal Processing Systems
Commodore 64 Programmer's Reference Guide
Commodore 64 Programmer's Reference Guide
Data path placement with regularity
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
Regularity driven logic synthesis
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
Configuration relocation and defragmentation for run-time reconfigurable computing
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Formally Analyzed Dynamic Synthesis of Hardware
The Journal of Supercomputing
Hardware-assisted simulated annealing with application for fast FPGA placement
FPGA '03 Proceedings of the 2003 ACM/SIGDA eleventh international symposium on Field programmable gate arrays
Parallel placement for field-programmable gate arrays
FPGA '03 Proceedings of the 2003 ACM/SIGDA eleventh international symposium on Field programmable gate arrays
Stochastic, spatial routing for hypergraphs, trees, and meshes
FPGA '03 Proceedings of the 2003 ACM/SIGDA eleventh international symposium on Field programmable gate arrays
Implementation of BEE: a real-time large-scale hardware emulation engine
FPGA '03 Proceedings of the 2003 ACM/SIGDA eleventh international symposium on Field programmable gate arrays
Placement-driven technology mapping for LUT-based FPGAs
FPGA '03 Proceedings of the 2003 ACM/SIGDA eleventh international symposium on Field programmable gate arrays
Architecture evaluation for power-efficient FPGAs
FPGA '03 Proceedings of the 2003 ACM/SIGDA eleventh international symposium on Field programmable gate arrays
Post-placement C-slow retiming for the xilinx virtex FPGA
FPGA '03 Proceedings of the 2003 ACM/SIGDA eleventh international symposium on Field programmable gate arrays
IEEE Computational Science & Engineering
Reinventing Electromagnetics: Emerging Applications for FD-TD Computation
IEEE Computational Science & Engineering
The Garp Architecture and C Compiler
Computer
DAG-Map: Graph-Based FPGA Technology Mapping for Delay Optimization
IEEE Design & Test
A Fast Partitioning Method for PLA-Based FPGAs
IEEE Design & Test
Introduction to High-Level Synthesis
IEEE Design & Test
Prototyping the M68060 for Concurrent Verification
IEEE Design & Test
Applying an XC6200 to Real-Time Image Processing
IEEE Design & Test
Fast Template Placement for Reconfigurable Computing Systems
IEEE Design & Test
IEEE Transactions on Computers
Randomized Algorithms: A System-Level, Poly-Time Analysis of Robust Computation
IEEE Transactions on Computers
New directions in traffic measurement and accounting
Proceedings of the 2002 conference on Applications, technologies, architectures, and protocols for computer communications
Proceedings of the First International Conference on Evolvable Systems: From Biology to Hardware
ICES '96 Proceedings of the First International Conference on Evolvable Systems: From Biology to Hardware
Proceedings of the Second International Conference on Evolvable Systems: From Biology to Hardware
ICES '98 Proceedings of the Second International Conference on Evolvable Systems: From Biology to Hardware
Proceedings of the 4th International Conference on Evolvable Systems: From Biology to Hardware
ICES '01 Proceedings of the 4th International Conference on Evolvable Systems: From Biology to Hardware
Special Purpose Image Convolution with Evolvable Hardware
Real-World Applications of Evolutionary Computing, EvoWorkshops 2000: EvoIASP, EvoSCONDI, EvoTel, EvoSTIM, EvoROB, and EvoFlight
Routable Technologie Mapping for LUT FPGAs
ICCD '92 Proceedings of the 1991 IEEE International Conference on Computer Design on VLSI in Computer & Processors
Development and Evolution of Hardware Behaviors
Papers from an international workshop on Towards Evolvable Hardware, The Evolutionary Engineering Approach
Unconstrained Evolution and Hard Consequences
Papers from an international workshop on Towards Evolvable Hardware, The Evolutionary Engineering Approach
Phylogeny, Ontogeny, and Epigenesis: Three Sources of Biological Inspiration for Softening Hardware
ICES '96 Proceedings of the First International Conference on Evolvable Systems: From Biology to Hardware
ICES '96 Proceedings of the First International Conference on Evolvable Systems: From Biology to Hardware
An Evolved Circuit, Intrinsic in Silicon, Entwined with Physics
ICES '96 Proceedings of the First International Conference on Evolvable Systems: From Biology to Hardware
A New Research Tool for Intrinsic Hardware Evolution
ICES '98 Proceedings of the Second International Conference on Evolvable Systems: From Biology to Hardware
The Intrinsic Evolution of Virtex Devices Through Internet Reconfigurable Logic
ICES '00 Proceedings of the Third International Conference on Evolvable Systems: From Biology to Hardware
ICES '00 Proceedings of the Third International Conference on Evolvable Systems: From Biology to Hardware
Evolution of Robustness in an Electronics Design
ICES '00 Proceedings of the Third International Conference on Evolvable Systems: From Biology to Hardware
Implementation of a Gate-Level Evolvable Hardware Chip
ICES '01 Proceedings of the 4th International Conference on Evolvable Systems: From Biology to Hardware
A Pattern Recognition System Using Evolvable Hardware
PPSN IV Proceedings of the 4th International Conference on Parallel Problem Solving from Nature
Hardware Evolution at Function Level
PPSN IV Proceedings of the 4th International Conference on Parallel Problem Solving from Nature
Compiling Hardware Descriptions with Relative Placement Information for Parametrised Libraries
FMCAD '02 Proceedings of the 4th International Conference on Formal Methods in Computer-Aided Design
Symbolic Model Checking without BDDs
TACAS '99 Proceedings of the 5th International Conference on Tools and Algorithms for Construction and Analysis of Systems
BitValue Inference: Detecting and Exploiting Narrow Bitwidth Computations
Euro-Par '00 Proceedings from the 6th International Euro-Par Conference on Parallel Processing
Frontier: A Fast Placement System for FPGAs
VLSI '99 Proceedings of the IFIP TC10/WG10.5 Tenth International Conference on Very Large Scale Integration: Systems on a Chip
How to Own the Internet in Your Spare Time
Proceedings of the 11th USENIX Security Symposium
Solving Satisfiability Problems on FPGAs Using Experimental Unit Propagation
CP '99 Proceedings of the 5th International Conference on Principles and Practice of Constraint Programming
I-structures: Data structures for parallel computing
Proceedings of the Workshop on Graph Reduction
The Quest for Efficient Boolean Satisfiability Solvers
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
A case study of partially evaluated hardware circuits: Key-specific DES
FPL '97 Proceedings of the 7th International Workshop on Field-Programmable Logic and Applications
The Java environment for reconfigurable computing
FPL '97 Proceedings of the 7th International Workshop on Field-Programmable Logic and Applications
VPR: A new packing, placement and routing tool for FPGA research
FPL '97 Proceedings of the 7th International Workshop on Field-Programmable Logic and Applications
Fast parallel implementation of DFT using configurable devices
FPL '97 Proceedings of the 7th International Workshop on Field-Programmable Logic and Applications
Solving Boolean Satisfiability with Dynamic Hardware Configurations
FPL '98 Proceedings of the 8th International Workshop on Field-Programmable Logic and Applications, From FPGAs to Computing Paradigm
FPL '98 Proceedings of the 8th International Workshop on Field-Programmable Logic and Applications, From FPGAs to Computing Paradigm
Reconfigurable Processors for High-Performance, Embedded Digital Signal Processing
FPL '99 Proceedings of the 9th International Workshop on Field-Programmable Logic and Applications
On Tool Integration in High-Performance FPGA Design Flows
FPL '99 Proceedings of the 9th International Workshop on Field-Programmable Logic and Applications
Multitasking on FPGA Coprocessors
FPL '00 Proceedings of the The Roadmap to Reconfigurable Computing, 10th International Workshop on Field-Programmable Logic and Applications
High-Level Area and Performance Estimation of Hardware Building Blocks on FPGAs
FPL '00 Proceedings of the The Roadmap to Reconfigurable Computing, 10th International Workshop on Field-Programmable Logic and Applications
Stream Computations Organized for Reconfigurable Execution (SCORE)
FPL '00 Proceedings of the The Roadmap to Reconfigurable Computing, 10th International Workshop on Field-Programmable Logic and Applications
FPGA-Based Emulation: Industrial and Custom Prototyping Solutions
FPL '00 Proceedings of the The Roadmap to Reconfigurable Computing, 10th International Workshop on Field-Programmable Logic and Applications
System Level Tools for DSP in FPGAs
FPL '01 Proceedings of the 11th International Conference on Field-Programmable Logic and Applications
A Generic Library for Adaptive Computing Environments
FPL '01 Proceedings of the 11th International Conference on Field-Programmable Logic and Applications
FPL '01 Proceedings of the 11th International Conference on Field-Programmable Logic and Applications
Rapid Construction of Partial Configuration Datastreams from High-Level Constructs Using JBits
FPL '01 Proceedings of the 11th International Conference on Field-Programmable Logic and Applications
The Integration of SystemC and Hardware-Assisted Verification
FPL '02 Proceedings of the Reconfigurable Computing Is Going Mainstream, 12th International Conference on Field-Programmable Logic and Applications
Novel Optimizations for Hardware Floating-Point Units in a Modern FPGA Architecture
FPL '02 Proceedings of the Reconfigurable Computing Is Going Mainstream, 12th International Conference on Field-Programmable Logic and Applications
Multithreading for Logic-Centric Systems
FPL '02 Proceedings of the Reconfigurable Computing Is Going Mainstream, 12th International Conference on Field-Programmable Logic and Applications
TDR: A Distributed-Memory Parallel Routing Algorithm for FPGAs
FPL '02 Proceedings of the Reconfigurable Computing Is Going Mainstream, 12th International Conference on Field-Programmable Logic and Applications
Sea Cucumber: A Synthesizing Compiler for FPGAs
FPL '02 Proceedings of the Reconfigurable Computing Is Going Mainstream, 12th International Conference on Field-Programmable Logic and Applications
Multitasking Hardware on the SLAAC1-V Reconfigurable Computing System
FPL '02 Proceedings of the Reconfigurable Computing Is Going Mainstream, 12th International Conference on Field-Programmable Logic and Applications
Automating Customisation of Floating-Point Designs
FPL '02 Proceedings of the Reconfigurable Computing Is Going Mainstream, 12th International Conference on Field-Programmable Logic and Applications
FPGA Technology Mapping for Power Minimization
FPL '94 Proceedings of the 4th International Workshop on Field-Programmable Logic and Applications: Field-Programmable Logic, Architectures, Synthesis and Applications
XC6200 FastmapTM Processor Interface
FPL '95 Proceedings of the 5th International Workshop on Field-Programmable Logic and Applications
BIT-Serial FIR Filters with CSD Coefficients for FPGAs
FPL '95 Proceedings of the 5th International Workshop on Field-Programmable Logic and Applications
Implementation of a 2-D Fast Fourier Transform on an FPGA-Based Custom Computing Machine
FPL '95 Proceedings of the 5th International Workshop on Field-Programmable Logic and Applications
The Teramac Configurable Computer Engine
FPL '95 Proceedings of the 5th International Workshop on Field-Programmable Logic and Applications
Implementation of Fast Fourier Transforms and Discrete Cosine Transforms in FPGAs
FPL '95 Proceedings of the 5th International Workshop on Field-Programmable Logic and Applications
Implementation Approaches for Reconfigurable Logic Applications
FPL '95 Proceedings of the 5th International Workshop on Field-Programmable Logic and Applications
FIR Filtering with FPGAs Using Quadrature Sigma-Delta Modulation Encoding
FPL '96 Proceedings of the 6th International Workshop on Field-Programmable Logic, Smart Applications, New Paradigms and Compilers
A Virtual Hardware Operating System for the Xilinx XC6200
FPL '96 Proceedings of the 6th International Workshop on Field-Programmable Logic, Smart Applications, New Paradigms and Compilers
RaPiD - Reconfigurable Pipelined Datapath
FPL '96 Proceedings of the 6th International Workshop on Field-Programmable Logic, Smart Applications, New Paradigms and Compilers
SATO: An Efficient Propositional Prover
CADE-14 Proceedings of the 14th International Conference on Automated Deduction
Chip-Based Reconfigurable Task Management
FPL '01 Proceedings of the 11th International Conference on Field-Programmable Logic and Applications
Evolvable Hardware and Its Applications to Pattern Recognition and Fault-Tolerant Systems
Papers from an international workshop on Towards Evolvable Hardware, The Evolutionary Engineering Approach
A Library of Parameterized Floating-Point Modules and Their Use
FPL '02 Proceedings of the Reconfigurable Computing Is Going Mainstream, 12th International Conference on Field-Programmable Logic and Applications
HW/SW codesign techniques for dynamically reconfigurable architectures
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A novel net weighting algorithm for timing-driven placement
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
Incremental placement for layout driven optimizations on FPGAs
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
Fast timing-driven partitioning-based placement for island style FPGAs
Proceedings of the 40th annual Design Automation Conference
Learning from BDDs in SAT-based bounded model checking
Proceedings of the 40th annual Design Automation Conference
Optimizing memory accesses for spatial computation
Proceedings of the international symposium on Code generation and optimization: feedback-directed and runtime optimization
Adaptive delay estimation for partitioning-driven PLD placement
IEEE Transactions on Very Large Scale Integration (VLSI) Systems - Special section on system-level interconnect prediction (SLIP)
Efficient Implementation of Rotation Operations for High Performance QRD-RLS Filtering
ASAP '97 Proceedings of the IEEE International Conference on Application-Specific Systems, Architectures and Processors
Synthesis of saturation arithmetic architectures
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Combined DRAM and logic chip for massively parallel systems
ARVLSI '95 Proceedings of the 16th Conference on Advanced Research in VLSI (ARVLSI'95)
Architecture Design of Reconfigurable Pipelined Datapaths
ARVLSI '99 Proceedings of the 20th Anniversary Conference on Advanced Research in VLSI
GeneticFPGA: Evolving Stable Circuits on Mainstream FPGA Devices
EH '99 Proceedings of the 1st NASA/DOD workshop on Evolvable Hardware
Safe Intrinsic Evolution of Virtex Devices
EH '00 Proceedings of the 2nd NASA/DoD workshop on Evolvable Hardware
Evolution in materio: Looking Beyond the Silicon Box
EH '02 Proceedings of the 2002 NASA/DoD Conference on Evolvable Hardware (EH'02)
Evolving Circuits in Seconds: Experiments with a Stand-Alone Board-Level Evolvable System
EH '02 Proceedings of the 2002 NASA/DoD Conference on Evolvable Hardware (EH'02)
The Chimaera reconfigurable functional unit
FCCM '97 Proceedings of the 5th IEEE Symposium on FPGA-Based Custom Computing Machines
FCCM '97 Proceedings of the 5th IEEE Symposium on FPGA-Based Custom Computing Machines
The swappable logic unit: a paradigm for virtual hardware
FCCM '97 Proceedings of the 5th IEEE Symposium on FPGA-Based Custom Computing Machines
Garp: a MIPS processor with a reconfigurable coprocessor
FCCM '97 Proceedings of the 5th IEEE Symposium on FPGA-Based Custom Computing Machines
An FPGA architecture for DRAM-based systolic computations
FCCM '97 Proceedings of the 5th IEEE Symposium on FPGA-Based Custom Computing Machines
A dynamic reconfiguration run-time system
FCCM '97 Proceedings of the 5th IEEE Symposium on FPGA-Based Custom Computing Machines
Incremental reconfiguration for pipelined applications
FCCM '97 Proceedings of the 5th IEEE Symposium on FPGA-Based Custom Computing Machines
Defect tolerance on the Teramac custom computer
FCCM '97 Proceedings of the 5th IEEE Symposium on FPGA-Based Custom Computing Machines
Mapping applications to the RaPiD configurable architecture
FCCM '97 Proceedings of the 5th IEEE Symposium on FPGA-Based Custom Computing Machines
A Run-Time Reconfigurable Engine for Image Interpolation
FCCM '98 Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines
A Re-evaluation of the Practicality of Floating-Point Operations on FPGAs
FCCM '98 Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines
Characterization and Parameterization of a Pipeline Reconfigurable FPGA
FCCM '98 Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines
Scalable Network Based FPGA Accelerators for an Automatic Target Recognition Application
FCCM '98 Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines
Accelerating Boolean Satisfiability with Configurable Hardware
FCCM '98 Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines
JHDL - An HDL for Reconfigurable Systems
FCCM '98 Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines
Configuration Compression for the Xilinx XC6200 FPGA
FCCM '98 Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines
The NAPA Adaptive Processing Architecture
FCCM '98 Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines
Field Programmable Gate Array Based Radar Front-End Digital Signal Processing
FCCM '99 Proceedings of the Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines
ConCISe: A Compiler-Driven CPLD-Based Instruction Set Accelerator
FCCM '99 Proceedings of the Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Transmutable Telecom System and Its Application
FCCM '99 Proceedings of the Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines
A CAD Suite for High-Performance FPGA Design
FCCM '99 Proceedings of the Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Safe and Protected Execution for the Morph/AMRM Reconfigurable Processor
FCCM '99 Proceedings of the Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Parallelizing Applications into Silicon
FCCM '99 Proceedings of the Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Tunable Fault Tolerance for Runtime Reconfigurable Architectures
FCCM '00 Proceedings of the 2000 IEEE Symposium on Field-Programmable Custom Computing Machines
Dynamic Fault Tolerance in FPGAs via Partial Reconfiguration
FCCM '00 Proceedings of the 2000 IEEE Symposium on Field-Programmable Custom Computing Machines
Stream-Oriented FPGA Computing in the Streams-C High Level Language
FCCM '00 Proceedings of the 2000 IEEE Symposium on Field-Programmable Custom Computing Machines
Configuration Caching Management Techniques for Reconfigurable Computing
FCCM '00 Proceedings of the 2000 IEEE Symposium on Field-Programmable Custom Computing Machines
High Performance DES Encryption in Virtex(tm) FPGAs Using Jbits(tm)
FCCM '00 Proceedings of the 2000 IEEE Symposium on Field-Programmable Custom Computing Machines
FCCM '00 Proceedings of the 2000 IEEE Symposium on Field-Programmable Custom Computing Machines
Précis: A Design-Time Precision Analysis Tool
FCCM '02 Proceedings of the 10th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
FCCM '02 Proceedings of the 10th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Hardware-Assisted Fast Routing
FCCM '02 Proceedings of the 10th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Peer-to-Peer Hardware-Software Interfaces for Reconfigurable Fabrics
FCCM '02 Proceedings of the 10th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Assisting Network Intrusion Detection with Reconfigurable Hardware
FCCM '02 Proceedings of the 10th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Control and Configuration Software for a Reconfigurable Networking Hardware Platform
FCCM '02 Proceedings of the 10th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
FRONTIERS '96 Proceedings of the 6th Symposium on the Frontiers of Massively Parallel Computation
Hierarchical processors-and-memory architecture for high performance computing
FRONTIERS '96 Proceedings of the 6th Symposium on the Frontiers of Massively Parallel Computation
Solving Satisfiability Problems Using Logic Synthesis and Reconfigurable Hardware
HICSS '98 Proceedings of the Thirty-First Annual Hawaii International Conference on System Sciences-Volume 7 - Volume 7
Performance of interconnection rip-up and reroute strategies
DAC '81 Proceedings of the 18th Design Automation Conference
Towards Verifying Parametrised Hardware Libraries with Relative Placement Information
HICSS '03 Proceedings of the 36th Annual Hawaii International Conference on System Sciences (HICSS'03) - Track 9 - Volume 9
Impulse: Building a Smarter Memory Controller
HPCA '99 Proceedings of the 5th International Symposium on High Performance Computer Architecture
A Technology Mapper for Xilinx FPGAs
VLSID '97 Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
Performance and Overhead in a Hybrid Reconfigurable Computer
IPDPS '03 Proceedings of the 17th International Symposium on Parallel and Distributed Processing
Designing an Operating System for a Heterogeneous Reconfigurable SoC
IPDPS '03 Proceedings of the 17th International Symposium on Parallel and Distributed Processing
A High-Performance OC-12/OC-48 Queue Design Prototype for Input-buffered ATM Switches
INFOCOM '97 Proceedings of the INFOCOM '97. Sixteenth Annual Joint Conference of the IEEE Computer and Communications Societies. Driving the Information Revolution
WHICH CONCURRENT ERROR DETECTION SCHEME TO CHOOSE?
ITC '00 Proceedings of the 2000 IEEE International Test Conference
Reconfigurable Processing: The Solution to Low-Power Programmable DSP
ICASSP '97 Proceedings of the 1997 IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP '97) -Volume 1 - Volume 1
Accuracy Sensitive Word--Length Selection for Algorithm Optimization
ICCD '98 Proceedings of the International Conference on Computer Design
Re-synthesis in Technology Mapping for Heterogeneous FPGAs
ICCD '98 Proceedings of the International Conference on Computer Design
ActiveOS: Virtualizing Intelligent Memory
ICCD '99 Proceedings of the 1999 IEEE International Conference on Computer Design
FlexRAM: Toward an Advanced Intelligent Memory System
ICCD '99 Proceedings of the 1999 IEEE International Conference on Computer Design
Bridging The Genotype-Phenotype Mapping For Digital Fpgas
EH '01 Proceedings of the The 3rd NASA/DoD Workshop on Evolvable Hardware
Evolvable Internet Hardware Platforms
EH '01 Proceedings of the The 3rd NASA/DoD Workshop on Evolvable Hardware
BerkMin: A Fast and Robust Sat-Solver
Proceedings of the conference on Design, automation and test in Europe
Teramac-configurable custom computing
FCCM '95 Proceedings of the IEEE Symposium on FPGA's for Custom Computing Machines
Routability improvement using dynamic interconnect architecture
FCCM '95 Proceedings of the IEEE Symposium on FPGA's for Custom Computing Machines
Design methodologies for partially reconfigured systems
FCCM '95 Proceedings of the IEEE Symposium on FPGA's for Custom Computing Machines
Issues in wireless video coding using run-time-reconfigurable FPGAs
FCCM '95 Proceedings of the IEEE Symposium on FPGA's for Custom Computing Machines
A dynamic instruction set computer
FCCM '95 Proceedings of the IEEE Symposium on FPGA's for Custom Computing Machines
The Transmogrifier C hardware description language and compiler for FPGAs
FCCM '95 Proceedings of the IEEE Symposium on FPGA's for Custom Computing Machines
Quantitative analysis of floating point arithmetic on FPGA based custom computing machines
FCCM '95 Proceedings of the IEEE Symposium on FPGA's for Custom Computing Machines
A C++ compiler for FPGA custom execution units synthesis
FCCM '95 Proceedings of the IEEE Symposium on FPGA's for Custom Computing Machines
Reconfigurable Architectures for General-Purpose Computing
Reconfigurable Architectures for General-Purpose Computing
Speculation on the evolution of intelligence and its possible realization in machine form.
Speculation on the evolution of intelligence and its possible realization in machine form.
Configurable logic: a dynamically programmable cellular architecture and its vlsi implementation
Configurable logic: a dynamically programmable cellular architecture and its vlsi implementation
Architectures and algorithms for field-programmable gate arrays with embedded memory
Architectures and algorithms for field-programmable gate arrays with embedded memory
Fast place and route approaches for fpgas
Fast place and route approaches for fpgas
Augmenting a microprocessor with reconfigurable hardware
Augmenting a microprocessor with reconfigurable hardware
Logical hardware debuggers for fpga-based systems
Logical hardware debuggers for fpga-based systems
Automatic compilation of c for hybrid reconfigurable architectures
Automatic compilation of c for hybrid reconfigurable architectures
Power Dissipation Reductions with Genetic Algorithms
EH '03 Proceedings of the 2003 NASA/DoD Conference on Evolvable Hardware
Easily Testable Image Operators: The Class of Circuits Where Evolution Beats Engineers
EH '03 Proceedings of the 2003 NASA/DoD Conference on Evolvable Hardware
Evolutionary Design of an X-Band Antenna for NASA's Space Technology 5 Mission
EH '03 Proceedings of the 2003 NASA/DoD Conference on Evolvable Hardware
Towards Evolvable IP Cores for FPGAs
EH '03 Proceedings of the 2003 NASA/DoD Conference on Evolvable Hardware
FCCM '03 Proceedings of the 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Runtime Assignment of Reconfigurable Hardware Components for Image Processing Pipelines
FCCM '03 Proceedings of the 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Implementation of a Content-Scanning Module for an Internet Firewall
FCCM '03 Proceedings of the 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Source Level Debugger for the Sea Cucumber Synthesizing Compiler
FCCM '03 Proceedings of the 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Reconfigurable Computing Application Frameworks
FCCM '03 Proceedings of the 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Perturbation Analysis for Word-length Optimization
FCCM '03 Proceedings of the 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Automated tools to implement and test Internet systems in reconfigurable hardware
ACM SIGCOMM Computer Communication Review
Constant Coefficient Multiplication Using Look-Up Tables
Journal of VLSI Signal Processing Systems
FPGA Implementation of Carrier Synchronization for QAM Receivers
Journal of VLSI Signal Processing Systems
Power minimization algorithms for LUT-based FPGA technology mapping
ACM Transactions on Design Automation of Electronic Systems (TODAES)
The SFRA: a corner-turn FPGA architecture
FPGA '04 Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field programmable gate arrays
Low-power technology mapping for FPGA architectures with dual supply voltages
FPGA '04 Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field programmable gate arrays
Nanowire-based sublithographic programmable logic arrays
FPGA '04 Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field programmable gate arrays
FPGAs vs. CPUs: trends in peak floating-point performance
FPGA '04 Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field programmable gate arrays
A Configurable Logic Architecture for Dynamic Hardware/Software Partitioning
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Evolvable Components: From Theory to Hardware Implementations
Evolvable Components: From Theory to Hardware Implementations
Multitasking on reconfigurable architectures: microarchitecture support and dynamic scheduling
ACM Transactions on Embedded Computing Systems (TECS)
AMUSE: a minimally-unsatisfiable subformula extractor
Proceedings of the 41st annual Design Automation Conference
Exploiting structure in symmetry detection for CNF
Proceedings of the 41st annual Design Automation Conference
An efficient algorithm for finding empty space for online FPGA placement
Proceedings of the 41st annual Design Automation Conference
KISS: keep it simple and sequential
Proceedings of the ACM SIGPLAN 2004 conference on Programming language design and implementation
Synthesis And Optimization Of DSP Algorithms
Synthesis And Optimization Of DSP Algorithms
A Min-Cost Flow Based Detailed Router for FPGAs
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
On the Interaction Between Power-Aware FPGA CAD Algorithms
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
An iterative-improvement penalty-function-driven wire routing system
IBM Journal of Research and Development
Task scheduling for heterogeneous reconfigurable computers
SBCCI '04 Proceedings of the 17th symposium on Integrated circuits and system design
Online Scheduling for Block-Partitioned Reconfigurable Devices
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
From C Programs to the Configure-Execute Model
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Managing a Reconfigurable Processor in a General Purpose Workstation Environment
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Spatial computation
Operating Systems for Reconfigurable Embedded Platforms: Online Scheduling of Real-Time Tasks
IEEE Transactions on Computers
Reconfigurable Hardware SAT Solvers: A Survey of Systems
IEEE Transactions on Computers
Design Patterns for Reconfigurable Computing
FCCM '04 Proceedings of the 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Automated Least-Significant Bit Datapath Optimization for FPGAs
FCCM '04 Proceedings of the 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Closing the Gap: CPU and FPGA Trends in Sustainable Floating-Point BLAS Performance
FCCM '04 Proceedings of the 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
A software/reconfigurable hardware SAT solver
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Snort - Lightweight Intrusion Detection for Networks
LISA '99 Proceedings of the 13th USENIX conference on System administration
Technology mapping and architecture evalution for k/m-macrocell-based FPGAs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
The Stratix II logic and routing architecture
Proceedings of the 2005 ACM/SIGDA 13th international symposium on Field-programmable gate arrays
Sparse Matrix-Vector multiplication on FPGAs
Proceedings of the 2005 ACM/SIGDA 13th international symposium on Field-programmable gate arrays
Floating-point sparse matrix-vector multiply for FPGAs
Proceedings of the 2005 ACM/SIGDA 13th international symposium on Field-programmable gate arrays
64-bit floating-point FPGA matrix multiplication
Proceedings of the 2005 ACM/SIGDA 13th international symposium on Field-programmable gate arrays
Design of programmable interconnect for sublithographic programmable logic arrays
Proceedings of the 2005 ACM/SIGDA 13th international symposium on Field-programmable gate arrays
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Application Development on the SRC Computers, Inc. Systems
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers - Volume 01
Run-time support for heterogeneous multitasking on reconfigurable SoCs
Integration, the VLSI Journal - Special issue: Networks on chip and reconfigurable fabrics
Seamless Hardware-Software Integration in Reconfigurable Computing Systems
IEEE Design & Test
BEE2: A High-End Reconfigurable Computing System
IEEE Design & Test
IEEE Design & Test
Instrumenting Bitstreams for Debugging FPGA Circuits
FCCM '01 Proceedings of the the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
The Multiple Wordlength Paradigm
FCCM '01 Proceedings of the the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
A Cellular Automata System with FPGA
FCCM '01 Proceedings of the the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Configuration Compression for Virtex FPGAs
FCCM '01 Proceedings of the the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Design and Implementation of a Generic 2-D Biorthogonal Discrete Wavelet Transform on an FPGA
FCCM '01 Proceedings of the the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
An Application-Specific Compiler for High-Speed Binary Image Morphology
FCCM '01 Proceedings of the the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Fast Regular Expression Matching Using FPGAs
FCCM '01 Proceedings of the the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
A Configurable Hardware/Software Approach to SAT Solving
FCCM '01 Proceedings of the the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
The Development of an Operating System for Reconfigurable Computing
FCCM '01 Proceedings of the the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
ACM Transactions on Design Automation of Electronic Systems (TODAES)
FPGA technology mapping: a study of optimality
Proceedings of the 42nd annual Design Automation Conference
Soft Error Mitigation for SRAM-Based FPGAs
VTS '05 Proceedings of the 23rd IEEE Symposium on VLSI Test
EH '05 Proceedings of the 2005 NASA/DoD Conference on Evolvable Hardware
Fast hash table lookup using extended bloom filter: an aid to network processing
Proceedings of the 2005 conference on Applications, technologies, architectures, and protocols for computer communications
Seven Strategies for Tolerating Highly Defective Fabrication
IEEE Design & Test
Nanowire-based programmable architectures
ACM Journal on Emerging Technologies in Computing Systems (JETC)
An integrated debugging environment for reprogrammble hardware systems
Proceedings of the sixth international symposium on Automated analysis-driven debugging
Field-programmable gate array architectures and algorithms optimized for implementing datapath circuits
An Execution Environment for Reconfigurable Computing
FCCM '05 Proceedings of the 13th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Higher Radix Floating-Point Representations for FPGA-Based Arithmetic
FCCM '05 Proceedings of the 13th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
An Analysis of the Double-Precision Floating-Point FFT on FPGAs
FCCM '05 Proceedings of the 13th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Terrestrial-Based Radiation Upsets: A Cautionary Tale
FCCM '05 Proceedings of the 13th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
SIFT: Snort Intrusion Filter for TCP
HOTI '05 Proceedings of the 13th Symposium on High Performance Interconnects
DAOmap: a depth-optimal area optimization mapping algorithm for FPGA designs
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Simultaneous escape routing and layer assignment for dense PCBs
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Simultaneous short-path and long-path timing optimization for FPGAs
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Quartz: A Framework for Correct and Efficient Reconfigurable Design
RECONFIG '05 Proceedings of the 2005 International Conference on Reconfigurable Computing and FPGAs (ReConFig'05) on Reconfigurable Computing and FPGAs
Embedded floating-point units in FPGAs
Proceedings of the 2006 ACM/SIGDA 14th international symposium on Field programmable gate arrays
Measuring the gap between FPGAs and ASICs
Proceedings of the 2006 ACM/SIGDA 14th international symposium on Field programmable gate arrays
Optimality study of logic synthesis for LUT-based FPGAs
Proceedings of the 2006 ACM/SIGDA 14th international symposium on Field programmable gate arrays
Improvements to technology mapping for LUT-based FPGAs
Proceedings of the 2006 ACM/SIGDA 14th international symposium on Field programmable gate arrays
Communication-driven task binding for multiprocessor with latency insensitive network-on-chip
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Place and route techniques for fpga architecture advancement
Place and route techniques for fpga architecture advancement
Word-length optimization for differentiable nonlinear systems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
New decompilation techniques for binary-level co-processor generation
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Context-Free-Grammar based Token Tagger in Reconfigurable Devices
ICDEW '06 Proceedings of the 22nd International Conference on Data Engineering Workshops
Provably-correct hardware compilation tools based on pass separation techniques
Formal Aspects of Computing
Evolving Hardware with Self-reconfigurable connectivity in Xilinx FPGAs
AHS '06 Proceedings of the first NASA/ESA conference on Adaptive Hardware and Systems
Computer
Defect tolerance at the end of the roadmap
Nano, quantum and molecular computing
Law of large numbers system design
Nano, quantum and molecular computing
Proceedings of the 41st annual Design Automation Conference
Efficient SAT-based Boolean matching for FPGA technology mapping
Proceedings of the 43rd annual Design Automation Conference
Optimal simultaneous mapping and clustering for FPGA delay optimization
Proceedings of the 43rd annual Design Automation Conference
Design automation for streaming systems
Design automation for streaming systems
FCCM '06 Proceedings of the 14th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
A Type Architecture for Hybrid Micro-Parallel Computers
FCCM '06 Proceedings of the 14th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
A Scalable FPGA-based Multiprocessor
FCCM '06 Proceedings of the 14th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
GraphStep: A System Architecture for Sparse-Graph Algorithms
FCCM '06 Proceedings of the 14th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Packet Switched vs. Time Multiplexed FPGA Overlay Networks
FCCM '06 Proceedings of the 14th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Design and applications of a reconfigurable computing system for high performance digital signal processing
Electronic Design Automation for Integrated Circuits Handbook - 2 Volume Set
Electronic Design Automation for Integrated Circuits Handbook - 2 Volume Set
Digital Signal Processing
Verification of FPGA Layout Generators in Higher-Order Logic
Journal of Automated Reasoning
Proceedings of the 2007 ACM/SIGDA 15th international symposium on Field programmable gate arrays
Improved SAT-based Boolean matching using implicants for LUT-based FPGAs
Proceedings of the 2007 ACM/SIGDA 15th international symposium on Field programmable gate arrays
Application-dependent testing of FPGAs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Evolved fault tolerance in evolvable hardware
CEC '02 Proceedings of the Evolutionary Computation on 2002. CEC '02. Proceedings of the 2002 Congress - Volume 02
NetFPGA--An Open Platform for Gigabit-Rate Network Switching and Routing
MSE '07 Proceedings of the 2007 IEEE International Conference on Microelectronic Systems Education
Optimizing instruction-set extensible processors under data bandwidth constraints
Proceedings of the conference on Design, automation and test in Europe
A Structural Object Programming Model, Architecture, Chip and Tools for Reconfigurable Computing
FCCM '07 Proceedings of the 15th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
A Reconfigurable Hardware Interface for a Modern Computing System
FCCM '07 Proceedings of the 15th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Writing Portable Applications that Dynamically Bind at Run Time to Reconfigurable Hardware
FCCM '07 Proceedings of the 15th Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Prototyping Fast, Simple, Secure Switches for Etha
HOTI '07 Proceedings of the 15th Annual IEEE Symposium on High-Performance Interconnects
Module Clustering to Minimize Delay in Digital Networks
IEEE Transactions on Computers
A Cordic Arithmetic Processor Chip
IEEE Transactions on Computers
A Regular Layout for Parallel Adders
IEEE Transactions on Computers
Very Fast Fourier Transform Algorithms Hardware for Implementation
IEEE Transactions on Computers
Trace Scheduling: A Technique for Global Microcode Compaction
IEEE Transactions on Computers
Fourier Transform Computers Using CORDIC Iterations
IEEE Transactions on Computers
On a Pin Versus Block Relationship For Partitions of Logic Graphs
IEEE Transactions on Computers
Computer
Patterns for parallel programming
Patterns for parallel programming
Organization of computer systems: the fixed plus variable structure computer
IRE-AIEE-ACM '60 (Western) Papers presented at the May 3-5, 1960, western joint IRE-AIEE-ACM computer conference
Validity of the single processor approach to achieving large scale computing capabilities
AFIPS '67 (Spring) Proceedings of the April 18-20, 1967, spring joint computer conference
A unified algorithm for elementary functions
AFIPS '71 (Spring) Proceedings of the May 18-20, 1971, spring joint computer conference
GECCO '96 Proceedings of the 1st annual conference on Genetic and evolutionary computation
An efficient algorithm for exploiting multiple arithmetic units
IBM Journal of Research and Development
The functional structure of OS/360: part I introductory survey
IBM Systems Journal
Timing analysis of computer hardware
IBM Journal of Research and Development
Proceedings of the 5th international conference on Evolvable systems: from biology to hardware
ICES'03 Proceedings of the 5th international conference on Evolvable systems: from biology to hardware
Virtual reconfigurable circuits for real-world applications of evolvable hardware
ICES'03 Proceedings of the 5th international conference on Evolvable systems: from biology to hardware
FPGA-Based System Design
Using CSP look-back techniques to solve real-world SAT instances
AAAI'97/IAAI'97 Proceedings of the fourteenth national conference on artificial intelligence and ninth conference on Innovative applications of artificial intelligence
An optimal architecture for a DDC
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
On-chip and on-line self-reconfigurable adaptable platform: the non-uniform cellular automata case
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
On area/depth trade-off in LUT-based FPGA technology mapping
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Field programmable gate arrays and floating point arithmetic
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
High-performance automatic target recognition through data-specific VLSI
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Synthesis of reconfigurable high-performance multicore systems
Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays
Small scale multiprocessor soft IP (SSM IP): single FPGA chip area and performance evaluation
Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays
ARC '09 Proceedings of the 5th International Workshop on Reconfigurable Computing: Architectures, Tools and Applications
Run-time reconfigurability in embedded multiprocessors
ACM SIGARCH Computer Architecture News
Bit-level optimization for high-level synthesis and FPGA-based acceleration
Proceedings of the 18th annual ACM/SIGDA international symposium on Field programmable gate arrays
Fast and accurate NCBI BLASTP: acceleration with multiphase FPGA-based prefiltering
Proceedings of the 24th ACM International Conference on Supercomputing
High speed c-means clustering in reconfigurable hardware
Microprocessors & Microsystems
A single layer architecture to FPGA implementation of BP artificial neural network
CAR'10 Proceedings of the 2nd international Asia conference on Informatics in control, automation and robotics - Volume 2
Introduction to the wire-speed processor and architecture
IBM Journal of Research and Development
Molecular Dynamics Simulations on High-Performance Reconfigurable Computing Systems
ACM Transactions on Reconfigurable Technology and Systems (TRETS)
A pipelined and parallel architecture for quantum Monte Carlo simulations on FPGAs
VLSI Design - Special issue on selected papers from the midwest symposium on circuits and systems
ACM SIGDA Newsletter
Monolithically stackable hybrid FPGA
Proceedings of the Conference on Design, Automation and Test in Europe
EURASIP Journal on Advances in Signal Processing
Single-Chip Heterogeneous Computing: Does the Future Include Custom Logic, FPGAs, and GPGPUs?
MICRO '43 Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture
A taxonomy of accelerator architectures and their programming models
IBM Journal of Research and Development
Code transformations for embedded reconfigurable computing architectures
GTTSE'09 Proceedings of the 3rd international summer school conference on Generative and transformational techniques in software engineering III
Hardware framework for the rabbit stream cipher
Inscrypt'09 Proceedings of the 5th international conference on Information security and cryptology
Improving programmability of heterogeneous many-core systems via explicit platform descriptions
Proceedings of the 4th International Workshop on Multicore Software Engineering
Dynamic data folding with parameterizable FPGA configurations
ACM Transactions on Design Automation of Electronic Systems (TODAES)
A statistical power estimation methodology embedded in a SystemC code translator
Proceedings of the 24th symposium on Integrated circuits and systems design
Genetic Programming and Evolvable Machines
Efficient resource sharing architecture for multistandard communication system
VLSI Design - Special issue on CAD for Gigascale SoC Design and Verification Solutions
TROUTE: a reconfigurability-aware FPGA router
ARC'10 Proceedings of the 6th international conference on Reconfigurable Computing: architectures, Tools and Applications
QUAD: a memory access pattern analyser
ARC'10 Proceedings of the 6th international conference on Reconfigurable Computing: architectures, Tools and Applications
Reconfigurable computing and task scheduling for active storage service processing
ARC'10 Proceedings of the 6th international conference on Reconfigurable Computing: architectures, Tools and Applications
Handshaking in kansas lava using patch logic
PADL'12 Proceedings of the 14th international conference on Practical Aspects of Declarative Languages
A coarse-grained reconfigurable architecture with compilation for high performance
International Journal of Reconfigurable Computing - Special issue on High-Performance Reconfigurable Computing
Robust Software Partitioning with Multiple Instantiation
INFORMS Journal on Computing
Compiling CHR to parallel hardware
Proceedings of the 14th symposium on Principles and practice of declarative programming
Modeling and implementation of a power estimation methodology for systemC
International Journal of Reconfigurable Computing - Special issue on Selected Papers from the Symposium on Integrated Circuits and Systems Design (SBCCI 2011)
Heuristic search for adaptive, defect-tolerant multiprocessor arrays
ACM Transactions on Embedded Computing Systems (TECS) - Special section on ESTIMedia'12, LCTES'11, rigorous embedded systems design, and multiprocessor system-on-chip for cyber-physical systems
Optimal Design of Remote Terminal Unit (RTU) for Wireless SCADA System for Energy Management
Wireless Personal Communications: An International Journal
The RecoBlock SoC platform: a flexible array of reusable run-time-reconfigurable IP-blocks
Proceedings of the Conference on Design, Automation and Test in Europe
Simulated annealing for real-time vertical-handoff in wireless networks
IWANN'13 Proceedings of the 12th international conference on Artificial Neural Networks: advances in computational intelligence - Volume Part I
Redefining the role of FPGAs in the next generation avionic systems (abstract only)
Proceedings of the 2014 ACM/SIGDA international symposium on Field-programmable gate arrays
Journal of Systems Architecture: the EUROMICRO Journal
A proposed FPGA architecture for mean shift based object tracking with bandwidth constrained sensors
International Journal of Intelligent Systems Technologies and Applications
Journal of Real-Time Image Processing
Design of the coarse-grained reconfigurable architecture DART with on-line error detection
Microprocessors & Microsystems
Timing characterization and constraining tool
Microelectronics Journal
Hi-index | 0.00 |
The main characteristic of Reconfigurable Computing is the presence of hardware that can be reconfigured to implement specific functionality more suitable for specially tailored hardware than on a simple uniprocessor. Reconfigurable computing systems join microprocessors and programmable hardware in order to take advantage of the combined strengths of hardware and software and have been used in applications ranging from embedded systems to high performance computing. Many of the fundamental theories have been identified and used by the Hardware/Software Co-Design research field. Although the same background ideas are shared in both areas, they have different goals and use different approaches.This book is intended as an introduction to the entire range of issues important to reconfigurable computing, using FPGAs as the context, or "computing vehicles" to implement this powerful technology. It will take a reader with a background in the basics of digital design and software programming and provide them with the knowledge needed to be an effective designer or researcher in this rapidly evolving field.· Treatment of FPGAs as computing vehicles rather than glue-logic or ASIC substitutes· Views of FPGA programming beyond Verilog/VHDL· Broad set of case studies demonstrating how to use FPGAs in novel and efficient ways