Artificial Intelligence
Some results and experiments in programming techniques for propositional logic
Computers and Operations Research - Special issue: Applications of integer programming
Algorithms for testing the satisfiability of propositional formulae
Journal of Logic Programming
Enhancement schemes for constraint processing: backjumping, learning, and cutset decomposition
Artificial Intelligence
Efficient generation of test patterns using Boolean satisfiability
Efficient generation of test patterns using Boolean satisfiability
ModGen: theorem proving by model generation
AAAI '94 Proceedings of the twelfth national conference on Artificial intelligence (vol. 1)
Dynamic search-space pruning techniques in path sensitization
DAC '94 Proceedings of the 31st annual Design Automation Conference
Improvements to propositional satisfiability search algorithms
Improvements to propositional satisfiability search algorithms
GRASP—a new search algorithm for satisfiability
Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design
A Computing Procedure for Quantification Theory
Journal of the ACM (JACM)
Introduction to Digital Logic Design
Introduction to Digital Logic Design
Computers and Intractability: A Guide to the Theory of NP-Completeness
Computers and Intractability: A Guide to the Theory of NP-Completeness
Search State Equivalence for Redundancy Identification and Test Generation
Proceedings of the IEEE International Test Conference on Test: Faster, Better, Sooner
Proceedings of the IEEE International Test Conference on Discover the New World of Test and Design
Analysis of Dependencies to Improve the Behaviour of Logic Programs
Proceedings of the 5th Conference on Automated Deduction
Performance measurement and analysis of certain search algorithms.
Performance measurement and analysis of certain search algorithms.
Forensic engineering techniques for VLSI CAD tools
Proceedings of the 37th Annual Design Automation Conference
Boolean satisfiability in electronic design automation
Proceedings of the 37th Annual Design Automation Conference
A boolean satisfiability-based incremental rerouting approach with application to FPGAs
Proceedings of the conference on Design, automation and test in Europe
Multi-clock path analysis using propositional satisfiability
ASP-DAC '00 Proceedings of the 2000 Asia and South Pacific Design Automation Conference
A comparative study of two Boolean formulations of FPGA detailed routing constraints
Proceedings of the 2001 international symposium on Physical design
Proceedings of the 38th annual Design Automation Conference
Circuit-based Boolean Reasoning
Proceedings of the 38th annual Design Automation Conference
Watermarking of SAT using combinatorial isolation lemmas
Proceedings of the 38th annual Design Automation Conference
Chaff: engineering an efficient SAT solver
Proceedings of the 38th annual Design Automation Conference
SATIRE: a new incremental satisfiability engine
Proceedings of the 38th annual Design Automation Conference
ATPG tools for delay faults at the functional level
ACM Transactions on Design Automation of Electronic Systems (TODAES)
sub-SAT: a formulation for relaxed boolean satisfiability with applications in routing
Proceedings of the 2002 international symposium on Physical design
Backjump-based backtracking for constraint satisfaction problems
Artificial Intelligence
Solving difficult SAT instances in the presence of symmetry
Proceedings of the 39th annual Design Automation Conference
Satometer:: how much have we searched?
Proceedings of the 39th annual Design Automation Conference
SAT with partial clauses and back-leaps
Proceedings of the 39th annual Design Automation Conference
Combining strengths of circuit-based and CNF-based algorithms for a high-performance SAT solver
Proceedings of the 39th annual Design Automation Conference
Effective safety property checking using simulation-based sequential ATPG
Proceedings of the 39th annual Design Automation Conference
Boolean satisfiability with transitivity constraints
ACM Transactions on Computational Logic (TOCL)
SAT and ATPG: algorithms for Boolean decision problems
Logic Synthesis and Verification
Smart simulation using collaborative formal and simulation engines
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
Efficient conflict driven learning in a boolean satisfiability solver
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Why is Combinational ATPG Efficiently Solvable for Practical VLSI Circuits?
Journal of Electronic Testing: Theory and Applications
Towards Provably Complete Stochastic Search Algorithms for Satisfiability
EPIA '01 Proceedings of the10th Portuguese Conference on Artificial Intelligence on Progress in Artificial Intelligence, Knowledge Extraction, Multi-agent Systems, Logic Programming and Constraint Solving
Simplifying Circuits for Formal Verification Using Parametric Representation
FMCAD '02 Proceedings of the 4th International Conference on Formal Methods in Computer-Aided Design
Satisfiability Checking Using Boolean Expression Diagrams
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
CHARME '99 Proceedings of the 10th IFIP WG 10.5 Advanced Research Working Conference on Correct Hardware Design and Verification Methods
Pruning Techniques for the SAT-Based Bounded Model Checking Problem
CHARME '01 Proceedings of the 11th IFIP WG 10.5 Advanced Research Working Conference on Correct Hardware Design and Verification Methods
Verification of Timed Automata via Satisfiability Checking
FTRTFT '02 Proceedings of the 7th International Symposium on Formal Techniques in Real-Time and Fault-Tolerant Systems: Co-sponsored by IFIP WG 2.2
Formal Verification Methods for Industrial Hardware Design
SOFSEM '01 Proceedings of the 28th Conference on Current Trends in Theory and Practice of Informatics Piestany: Theory and Practice of Informatics
Robust SAT-Based Search Algorithm for Leakage Power Reduction
PATMOS '02 Proceedings of the 12th International Workshop on Integrated Circuit Design. Power and Timing Modeling, Optimization and Simulation
Progress on the State Explosion Problem in Model Checking
Informatics - 10 Years Back. 10 Years Ahead.
Random 3-SAT: The Plot Thickens
CP '02 Proceedings of the 6th International Conference on Principles and Practice of Constraint Programming
Using Randomization and Learning to Solve Hard Real-World Instances of Satisfiability
CP '02 Proceedings of the 6th International Conference on Principles and Practice of Constraint Programming
Formal Models of Heavy-Tailed Behavior in Combinatorial Search
CP '01 Proceedings of the 7th International Conference on Principles and Practice of Constraint Programming
Random 3-SAT and BDDs: The Plot Thickens Further
CP '01 Proceedings of the 7th International Conference on Principles and Practice of Constraint Programming
Towards a Symmetric Treatment of Satisfaction and Conflicts in Quantified Boolean Formula Evaluation
CP '02 Proceedings of the 8th International Conference on Principles and Practice of Constraint Programming
FME '02 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods - Getting IT Right
Computational Forensic Techniques for Intellectual Property Protection
IHW '01 Proceedings of the 4th International Workshop on Information Hiding
Stochastic Colored Petri Net Models for Rainbow Optical Networks
Application of Petri Nets to Communication Networks, Advances in Petri Nets
Hybrid Routing for FPGAs by Integrating Boolean Satisfiability with Geometric Search
FPL '02 Proceedings of the Reconfigurable Computing Is Going Mainstream, 12th International Conference on Field-Programmable Logic and Applications
FORCE: a fast and easy-to-implement variable-ordering heuristic
Proceedings of the 13th ACM Great Lakes symposium on VLSI
Journal of Symbolic Computation
Conflict driven learning in a quantified Boolean Satisfiability solver
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
Generic ILP versus specialized 0-1 ILP: an update
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
SAT and ATPG: Boolean engines for formal hardware verification
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
A signal correlation guided ATPG solver and its applications for solving difficult industrial cases
Proceedings of the 40th annual Design Automation Conference
Learning from BDDs in SAT-based bounded model checking
Proceedings of the 40th annual Design Automation Conference
A fast pseudo-boolean constraint solver
Proceedings of the 40th annual Design Automation Conference
Identifying Redundant Gate Replacements in Verification by Error Modeling
ITC '01 Proceedings of the 2001 IEEE International Test Conference
A complete adaptive algorithm for propositional satisfiability
Discrete Applied Mathematics
Random 3-SAT: The Plot Thickens
Constraints
Approximating minimal unsatisfiable subformulae by means of adaptive core search
Discrete Applied Mathematics - The renesse issue on satisfiability
Formal methods for the validation of automotive product configuration data
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Accelerating Bounded Model Checking of Safety Properties
Formal Methods in System Design
Journal of Systems Architecture: the EUROMICRO Journal - Special issue: Reconfigurable systems
Managing Don't Cares in Boolean Satisfiability
Proceedings of the conference on Design, automation and test in Europe - Volume 1
A Novel SAT All-Solutions Solver for Efficient Preimage Computation
Proceedings of the conference on Design, automation and test in Europe - Volume 1
A Comparative Study of Two Boolean Formulations of FPGA Detailed Routing Constraints
IEEE Transactions on Computers
An efficient finite-domain constraint solver for circuits
Proceedings of the 41st annual Design Automation Conference
AMUSE: a minimally-unsatisfiable subformula extractor
Proceedings of the 41st annual Design Automation Conference
SATORI - A Fast Sequential SAT Engine for Circuits
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
CAMA: A Multi-Valued Satisfiability Solver
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Iterative Abstraction using SAT-based BMC with Proof Analysis
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Design diagnosis using Boolean satisfiability
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Satisfiability and integer programming as complementary tools
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Local Search for Boolean Relations on the Basis of Unit Propagation
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Efficient Preimage Computation Using A Novel Success-Driven ATPG
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Verification of Proofs of Unsatisfiability for CNF Formulas
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
A Circuit SAT Solver With Signal Correlation Guided Learning
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Reconfigurable Hardware SAT Solvers: A Survey of Systems
IEEE Transactions on Computers
A software/reconfigurable hardware SAT solver
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
UnitWalk: A New SAT Solver that Uses Local Search Guided by Unit Clause Elimination
Annals of Mathematics and Artificial Intelligence
SAT-Based Complete Don't-Care Computation for Network Optimization
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Efficient Conflict-Based Learning in an RTL Circuit Constraint Solver
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
Pueblo: A Modern Pseudo-Boolean SAT Solver
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
An Efficient Sequential SAT Solver With Improved Search Strategies
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
An effective and efficient ATPG-based combinational equivalence checker
GLSVLSI '05 Proceedings of the 15th ACM Great Lakes symposium on VLSI
Utilizing don't care states in SAT-based bounded sequential problems
GLSVLSI '05 Proceedings of the 15th ACM Great Lakes symposium on VLSI
An Effective Algorithm for the Futile Questioning Problem
Journal of Automated Reasoning
Structural search for RTL with predicate learning
Proceedings of the 42nd annual Design Automation Conference
Efficient SAT solving: beyond supercubes
Proceedings of the 42nd annual Design Automation Conference
Simplify: a theorem prover for program checking
Journal of the ACM (JACM)
PASSAT: Efficient SAT-Based Test Pattern Generation for Industrial Circuits
ISVLSI '05 Proceedings of the IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design
Model Checking C Programs Using F-SOFT
ICCD '05 Proceedings of the 2005 International Conference on Computer Design
Functional test generation based on word-level SAT
Journal of Systems Architecture: the EUROMICRO Journal
Debugging sequential circuits using Boolean satisfiability
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Incremental deductive & inductive reasoning for SAT-based bounded model checking
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Efficient SAT-based unbounded symbolic model checking using circuit cofactoring
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Enhancing SAT-based equivalence checking with static logic implications
HLDVT '03 Proceedings of the Eighth IEEE International Workshop on High-Level Design Validation and Test Workshop
ATPG-based preimage computation: efficient search space pruning with ZBDD
HLDVT '03 Proceedings of the Eighth IEEE International Workshop on High-Level Design Validation and Test Workshop
Integration of supercubing and learning in a SAT solver
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Dynamic symmetry-breaking for improved Boolean optimization
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
A fast algorithm for finding common multiple-vertex dominators in circuit graphs
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Efficient Symmetry Breaking for Boolean Satisfiability
IEEE Transactions on Computers
Verification of large scale nano systems with unreliable nano devices
Nano, quantum and molecular computing
Proceedings of the 43rd annual Design Automation Conference
A fast SAT solver algorithm best suited to reconfigurable hardware
SBCCI '06 Proceedings of the 19th annual symposium on Integrated circuits and systems design
Heuristic-Based Backtracking Relaxation for Propositional Satisfiability
Journal of Automated Reasoning
Local search for quantified Boolean formulas
Proceedings of the 43rd annual Southeast regional conference - Volume 1
B-Cubing: New Possibilities for Efficient SAT-Solving
IEEE Transactions on Computers
IEEE Transactions on Computers
A competitive and cooperative approach to propositional satisfiability
Discrete Applied Mathematics - Special issue: Discrete algorithms and optimization, in honor of professor Toshihide Ibaraki at his retirement from Kyoto University
AND/OR search spaces for graphical models
Artificial Intelligence
Bounded model checking of infinite state systems
Formal Methods in System Design
Efficient Boolean characteristic function for fast timed ATPG
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
SMT(CLU): a step toward scalability in system verification
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Solving the minimum-cost satisfiability problem using SAT based branch-and-bound search
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
HySAT: An efficient proof engine for bounded model checking of hybrid systems
Formal Methods in System Design
Bounded Model Checking with Parametric Data Structures
Electronic Notes in Theoretical Computer Science (ENTCS)
Sequential Circuits for Relational Analysis
ICSE '07 Proceedings of the 29th international conference on Software Engineering
Interactive presentation: A shift register based clause evaluator for reconfigurable SAT solver
Proceedings of the conference on Design, automation and test in Europe
On test generation by input cube avoidance
Proceedings of the conference on Design, automation and test in Europe
QuteSAT: a robust circuit-based SAT solver for complex circuit structure
Proceedings of the conference on Design, automation and test in Europe
Maximum circuit activity estimation using pseudo-boolean satisfiability
Proceedings of the conference on Design, automation and test in Europe
BerkMin: A fast and robust Sat-solver
Discrete Applied Mathematics
Random backtracking in backtrack search algorithms for satisfiability
Discrete Applied Mathematics
Alembic: an efficient algorithm for CNF preprocessing
Proceedings of the 44th annual Design Automation Conference
On-the-fly resolve trace minimization
Proceedings of the 44th annual Design Automation Conference
Using SAT-based techniques in power estimation
Microelectronics Journal
Exploiting multivalued knowledge in variable selection heuristics for SAT solvers
Annals of Mathematics and Artificial Intelligence
IP algorithms in compact rough classification modeling
Intelligent Data Analysis
Solution and Optimization of Systems of Pseudo-Boolean Constraints
IEEE Transactions on Computers
Fast exact Toffoli network synthesis of reversible logic
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Incremental learning approach and SAT model for Boolean matching with don't cares
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Extended resolution simulates binary decision diagrams
Discrete Applied Mathematics
Optimizing non-monotonic interconnect using functional simulation and logic restructuring
Proceedings of the 2008 international symposium on Physical design
Using unsatisfiable cores to debug multiple design errors
Proceedings of the 18th ACM Great Lakes symposium on VLSI
SAT-based equivalence checking of threshold logic designs for nanotechnologies
Proceedings of the 18th ACM Great Lakes symposium on VLSI
Model checking with Boolean Satisfiability
Journal of Algorithms
Efficient SAT-based bounded model checking for software verification
Theoretical Computer Science
On Boolean Functions Encodable as a Single Linear Pseudo-Boolean Constraint
CPAIOR '07 Proceedings of the 4th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
A View from the Engine Room: Computational Support for Symbolic Model Checking
25 Years of Model Checking
Incorporating Learning in Grid-Based Randomized SAT Solving
AIMSA '08 Proceedings of the 13th international conference on Artificial Intelligence: Methodology, Systems, and Applications
A New Quantum Evolutionary Local Search Algorithm for MAX 3-SAT Problem
HAIS '08 Proceedings of the 3rd international workshop on Hybrid Artificial Intelligence Systems
Efficient Generation of Unsatisfiability Proofs and Cores in SAT
LPAR '08 Proceedings of the 15th International Conference on Logic for Programming, Artificial Intelligence, and Reasoning
A generative power-law search tree model
Computers and Operations Research
Present and Future of Practical SAT Solving
Complexity of Constraints
Consistency checking of all different constraints over bit-vectors within a SAT solver
Proceedings of the 2008 International Conference on Formal Methods in Computer-Aided Design
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Compiling finite linear CSP into SAT
Constraints
Formalization and Implementation of Modern SAT Solvers
Journal of Automated Reasoning
Functional test generation using design and property decomposition techniques
ACM Transactions on Embedded Computing Systems (TECS)
Verification Techniques for System-Level Design
Verification Techniques for System-Level Design
Solution Enumeration for Projected Boolean Search Problems
CPAIOR '09 Proceedings of the 6th International Conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
CPAIOR '09 Proceedings of the 6th International Conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Reconfigurable Computing: The Theory and Practice of FPGA-Based Computation
Reconfigurable Computing: The Theory and Practice of FPGA-Based Computation
Return of the JTMS: Preferences Orchestrate Conflict Learning and Solution Synthesis
Proceedings of the 2006 conference on ECAI 2006: 17th European Conference on Artificial Intelligence August 29 -- September 1, 2006, Riva del Garda, Italy
Improved Conflict-Clause Minimization Leads to Improved Propositional Proof Traces
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Boundary Points and Resolution
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Branch and Bound for Boolean Optimization and the Generation of Optimality Certificates
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Automated deduction for verification
ACM Computing Surveys (CSUR)
Finding Similar or Diverse Solutions in Answer Set Programming
ICLP '09 Proceedings of the 25th International Conference on Logic Programming
ICLP '09 Proceedings of the 25th International Conference on Logic Programming
On the Implementation of Weight Constraint Rules in Conflict-Driven ASP Solvers
ICLP '09 Proceedings of the 25th International Conference on Logic Programming
On the power of top-down branching heuristics
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 1
Breaking instance-independent symmetries in exact graph coloring
Journal of Artificial Intelligence Research
New inference rules for Max-SAT
Journal of Artificial Intelligence Research
Simulation and SAT-based Boolean matching for large Boolean networks
Proceedings of the 46th Annual Design Automation Conference
Efficient symmetry breaking for boolean satisfiability
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
Efficient Boolean characteristic function for timed automatic test pattern generation
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Exact multiple-control toffoli network synthesis with SAT techniques
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
An outlook on design technologies for future integrated systems
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
PN code acquisition using Boolean satisfiability techniques
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Combining SAT Methods with Non-Clausal Decision Heuristics
Electronic Notes in Theoretical Computer Science (ENTCS)
Efficient Proof Engines for Bounded Model Checking of Hybrid Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
Adaptive Application of SAT Solving Techniques
Electronic Notes in Theoretical Computer Science (ENTCS)
Practical algorithms for unsatisfiability proof and core generation in SAT solvers
AI Communications - Practical Aspects of Automated Reasoning
Beyond soundness: on the verification of semantic business process models
Distributed and Parallel Databases
Parallel SAT solving in bounded model checking
FMICS'06/PDMC'06 Proceedings of the 11th international workshop, FMICS 2006 and 5th international workshop, PDMC conference on Formal methods: Applications and technology
Automatic fault localization for property checking
HVC'06 Proceedings of the 2nd international Haifa verification conference on Hardware and software, verification and testing
Combining abstraction refinement and SAT-based model checking
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
A hardware SAT solver using non-chronological backtracking and clause recording without overheads
ARC'07 Proceedings of the 3rd international conference on Reconfigurable computing: architectures, tools and applications
Experimental analysis of different techniques for bounded model checking
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
An online proof-producing decision procedure for mixed-integer linear arithmetic
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
A SAT characterization of boolean-program correctness
SPIN'03 Proceedings of the 10th international conference on Model checking software
Verifying propositional unsatisfiability: pitfalls to avoid
SAT'07 Proceedings of the 10th international conference on Theory and applications of satisfiability testing
A tutorial on satisfiability modulo theories
CAV'07 Proceedings of the 19th international conference on Computer aided verification
Limitations of restricted branching in clause learning
CP'07 Proceedings of the 13th international conference on Principles and practice of constraint programming
Journal of Electronic Testing: Theory and Applications
Challenges in satisfiability modulo theories
RTA'07 Proceedings of the 18th international conference on Term rewriting and applications
Clone: solving weighted Max-SAT in a reduced search space
AI'07 Proceedings of the 20th Australian joint conference on Advances in artificial intelligence
On combining 01X-logic and QBF
EUROCAST'07 Proceedings of the 11th international conference on Computer aided systems theory
Constraint integer programming: a new approach to integrate CP and MIP
CPAIOR'08 Proceedings of the 5th international conference on Integration of AI and OR techniques in constraint programming for combinatorial optimization problems
A decision-making procedure for resolution-based SAT-solvers
SAT'08 Proceedings of the 11th international conference on Theory and applications of satisfiability testing
SAT(ID): satisfiability of propositional logic extended with inductive definitions
SAT'08 Proceedings of the 11th international conference on Theory and applications of satisfiability testing
Backtracking search algorithm for satisfiability degree calculation
FSKD'09 Proceedings of the 6th international conference on Fuzzy systems and knowledge discovery - Volume 2
Functional test generation using efficient property clustering and learning techniques
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Scalable formula decomposition for propositional satisfiability
Proceedings of the Third C* Conference on Computer Science and Software Engineering
Dynamic symmetry-breaking for Boolean satisfiability
Annals of Mathematics and Artificial Intelligence
MONSOON: SAT-Based ATPG for Path Delay Faults Using Multiple-Valued Logics
Journal of Electronic Testing: Theory and Applications
BooM: a decision procedure for boolean matching with abstraction and dynamic learning
Proceedings of the 47th Design Automation Conference
Incremental solving techniques for SAT-based ATPG
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
A modular CNF-based SAT solver
SBCCI '10 Proceedings of the 23rd symposium on Integrated circuits and system design
Making deduction more effective in SAT solvers
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Formal verification of a modern SAT solver by shallow embedding into Isabelle/HOL
Theoretical Computer Science
Defect-aware logic mapping for nanowire-based programmable logic arrays via satisfiability
Proceedings of the Conference on Design, Automation and Test in Europe
A SAT-based Method for Solving the Two-dimensional Strip Packing Problem
Fundamenta Informaticae - RCRA 2008 Experimental Evaluation of Algorithms for Solving Problems with Combinatorial Explosion
Integration, the VLSI Journal
A Framework for Certified Boolean Branch-and-Bound Optimization
Journal of Automated Reasoning
Message race detection for web services by an SMT-based analysis
ATC'10 Proceedings of the 7th international conference on Autonomic and trusted computing
Partitioning SAT instances for distributed solving
LPAR'10 Proceedings of the 17th international conference on Logic for programming, artificial intelligence, and reasoning
A new artificial immune system for solving the maximum satisfiability problem
IEA/AIE'10 Proceedings of the 23rd international conference on Industrial engineering and other applications of applied intelligent systems - Volume Part II
Sechecker: a sequential equivalence checking framework based on K th invariants
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
The Mechanical Verification of a DPLL-Based Satisfiability Solver
Electronic Notes in Theoretical Computer Science (ENTCS)
Learning and using domain-specific heuristics in ASP solvers
AI Communications - Answer Set Programming
A heuristic block coordinate descent approach for controlled tabular adjustment
Computers and Operations Research
Random test generation with input cube avoidance
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Applications of answer set programming in phylogenetic systematics
Logic programming, knowledge representation, and nonmonotonic reasoning
Statically-directed dynamic automated test generation
Proceedings of the 2011 International Symposium on Software Testing and Analysis
Beyond unit propagation in SAT solving
SEA'11 Proceedings of the 10th international conference on Experimental algorithms
Explanations for the cumulative constraint: an experimental study
SEA'11 Proceedings of the 10th international conference on Experimental algorithms
Spatial hardware implementation for sparse graph algorithms in GraphStep
ACM Transactions on Autonomous and Adaptive Systems (TAAS)
DPLL+ROBDD Derivation applied to inversion of some cryptographic functions
SAT'11 Proceedings of the 14th international conference on Theory and application of satisfiability testing
Between restarts and backjumps
SAT'11 Proceedings of the 14th international conference on Theory and application of satisfiability testing
Generating diverse solutions in SAT
SAT'11 Proceedings of the 14th international conference on Theory and application of satisfiability testing
Generalized conflict-clause strengthening for satisfiability solvers
SAT'11 Proceedings of the 14th international conference on Theory and application of satisfiability testing
Empirical study of the anatomy of modern sat solvers
SAT'11 Proceedings of the 14th international conference on Theory and application of satisfiability testing
Solving systems of linear inequalities by bound propagation
CADE'11 Proceedings of the 23rd international conference on Automated deduction
Parallel logical cryptanalysis of the generator A5/1 in BNB-grid system
PaCT'11 Proceedings of the 11th international conference on Parallel computing technologies
Grid-based SAT solving with iterative partitioning and clause learning
CP'11 Proceedings of the 17th international conference on Principles and practice of constraint programming
Abstraction and refinement in model checking
FMCO'05 Proceedings of the 4th international conference on Formal Methods for Components and Objects
Compiling finite linear CSP into SAT
CP'06 Proceedings of the 12th international conference on Principles and Practice of Constraint Programming
Decision procedures for SAT, SAT modulo theories and beyond. the barcelogictools
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Satisfiability checking for PC(ID)
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Pool resolution and its relation to regular resolution and DPLL with clause learning
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Another complete local search method for SAT
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
A SAT-based decision procedure for the boolean combination of difference constraints
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Relative generic computational forensic techniques
IH'04 Proceedings of the 6th international conference on Information Hiding
A search-infer-and-relax framework for integrating solution methods
CPAIOR'05 Proceedings of the Second international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
A SAT-based decision procedure for mixed logical/integer linear problems
CPAIOR'05 Proceedings of the Second international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
A clause-based heuristic for SAT solvers
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
A scalable method for solving satisfiability of integer linear arithmetic logic
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
Speedup techniques utilized in modern SAT solvers
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
On subsumption removal and on-the-fly CNF simplification
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
Boolean matching of function vectors with strengthened learning
Proceedings of the International Conference on Computer-Aided Design
DPLL(T) with exhaustive theory propagation and its application to difference logic
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
CADE' 20 Proceedings of the 20th international conference on Automated Deduction
An analysis of SAT-based model checking techniques in an industrial environment
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
FPGA based accelerator for 3-SAT conflict analysis in SAT solvers
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
SAT solving with reference points
SAT'10 Proceedings of the 13th international conference on Theory and Applications of Satisfiability Testing
An evolutionary local search algorithm for the satisfiability problem
TAINN'05 Proceedings of the 14th Turkish conference on Artificial Intelligence and Neural Networks
Feature specification and static analysis for interaction resolution
FM'06 Proceedings of the 14th international conference on Formal Methods
From propositional satisfiability to satisfiability modulo theories
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
A scalable algorithm for minimal unsatisfiable core extraction
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
A complete random jump strategy with guiding paths
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Applications of SAT solvers to cryptanalysis of hash functions
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Lemma learning in SMT on linear constraints
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
On solving the partial MAX-SAT problem
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Automatic test pattern generation
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
SAT-Based verification methods and applications in hardware verification
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
Simultaneous SAT-Based model checking of safety properties
HVC'05 Proceedings of the First Haifa international conference on Hardware and Software Verification and Testing
Directed test generation for validation of multicore architectures
ACM Transactions on Design Automation of Electronic Systems (TODAES) - Special section on verification challenges in the concurrent world
Functional timing analysis made fast and general
Proceedings of the 49th Annual Design Automation Conference
Conflict-driven answer set solving: From theory to practice
Artificial Intelligence
Conflict analysis in mixed integer programming
Discrete Optimization
An overview of parallel SAT solving
Constraints
Reconsidering mixed integer programming and MIP-Based hybrids for scheduling
CPAIOR'12 Proceedings of the 9th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Look-back Techniques for ASP Programs with Aggregates
Fundamenta Informaticae
CoPAn: exploring recurring patterns in conflict analysis of CDCL SAT solvers
SAT'12 Proceedings of the 15th international conference on Theory and Applications of Satisfiability Testing
Improved single pass algorithms for resolution proof reduction
SAT'12 Proceedings of the 15th international conference on Theory and Applications of Satisfiability Testing
An efficient method for solving UNSAT 3-SAT and similar instances via static decomposition
SAT'12 Proceedings of the 15th international conference on Theory and Applications of Satisfiability Testing
SAT'12 Proceedings of the 15th international conference on Theory and Applications of Satisfiability Testing
Taking satisfiability to the next level with z3
IJCAR'12 Proceedings of the 6th international joint conference on Automated Reasoning
IJCAR'12 Proceedings of the 6th international joint conference on Automated Reasoning
IJCAR'12 Proceedings of the 6th international joint conference on Automated Reasoning
When boolean satisfiability meets gaussian elimination in a simplex way
CAV'12 Proceedings of the 24th international conference on Computer Aided Verification
Electronic Notes in Theoretical Computer Science (ENTCS)
New bounds for MAX-SAT by clause learning
CSR'07 Proceedings of the Second international conference on Computer Science: theory and applications
Planning as satisfiability: Heuristics
Artificial Intelligence
Relating proof complexity measures and practical hardness of SAT
CP'12 Proceedings of the 18th international conference on Principles and Practice of Constraint Programming
Understanding, improving and parallelizing MUS finding using model rotation
CP'12 Proceedings of the 18th international conference on Principles and Practice of Constraint Programming
A compact encoding of pseudo-boolean constraints into SAT
KI'12 Proceedings of the 35th Annual German conference on Advances in Artificial Intelligence
Improved single pass algorithms for resolution proof reduction
ATVA'12 Proceedings of the 10th international conference on Automated Technology for Verification and Analysis
Producing and verifying extremely large propositional refutations
Annals of Mathematics and Artificial Intelligence
Multi-threaded asp solving with clasp
Theory and Practice of Logic Programming
International Journal of Advanced Intelligence Paradigms
Correctness of solving query-answering problems using satisfiability solvers
ACIIDS'13 Proceedings of the 5th Asian conference on Intelligent Information and Database Systems - Volume Part I
Tableau Calculi for Logic Programs under Answer Set Semantics
ACM Transactions on Computational Logic (TOCL)
Automating data analysis and acquisition setup in a silicon debug environment
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Soundness of inprocessing in clause sharing SAT solvers
SAT'13 Proceedings of the 16th international conference on Theory and Applications of Satisfiability Testing
Efficient clause learning for quantified boolean formulas via QBF pseudo unit propagation
SAT'13 Proceedings of the 16th international conference on Theory and Applications of Satisfiability Testing
SAT'13 Proceedings of the 16th international conference on Theory and Applications of Satisfiability Testing
Complexity issues related to propagation completeness
Artificial Intelligence
Automated reencoding of boolean formulas
HVC'12 Proceedings of the 8th international conference on Hardware and Software: verification and testing
Mechanical verification of SAT refutations with extended resolution
ITP'13 Proceedings of the 4th international conference on Interactive Theorem Proving
A survey of the satisfiability-problems solving algorithms
International Journal of Advanced Intelligence Paradigms
Advanced conflict-driven disjunctive answer set solving
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Implicit learning of common sense for reasoning
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
A generalization of SAT and #SAT for robust policy evaluation
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Improving combinatorial optimization: extended abstract
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Complexity-sensitive decision procedures for abstract argumentation
Artificial Intelligence
Hi-index | 15.00 |
This paper introduces GRASP (Generic seaRch Algorithm for the Satisfiability Problem), a new search algorithm for Propositional Satisfiability (SAT). GRASP incorporates several search-pruning techniques that proved to be quite powerful on a wide variety of SAT problems. Some of these techniques are specific to SAT, whereas others are similar in spirit to approaches in other fields of Artificial Intelligence. GRASP is premised on the inevitability of conflicts during the search and its most distinguishing feature is the augmentation of basic backtracking search with a powerful conflict analysis procedure. Analyzing conflicts to determine their causes enables GRASP to backtrack nonchronologically to earlier levels in the search tree, potentially pruning large portions of the search space. In addition, by 驴recording驴 the causes of conflicts, GRASP can recognize and preempt the occurrence of similar conflicts later on in the search. Finally, straightforward bookkeeping of the causality chains leading up to conflicts allows GRASP to identify assignments that are necessary for a solution to be found. Experimental results obtained from a large number of benchmarks indicate that application of the proposed conflict analysis techniques to SAT algorithms can be extremely effective for a large number of representative classes of SAT instances.