Noise strategies for improving local search
AAAI '94 Proceedings of the twelfth national conference on Artificial intelligence (vol. 1)
A linear-time transformation of linear inequalities into conjunctive normal form
Information Processing Letters
GRASP: A Search Algorithm for Propositional Satisfiability
IEEE Transactions on Computers
Journal of the ACM (JACM)
On using satisfiability-based pruning techniques in covering algorithms
DATE '00 Proceedings of the conference on Design, automation and test in Europe
A machine program for theorem-proving
Communications of the ACM
A comparative study of two Boolean formulations of FPGA detailed routing constraints
Proceedings of the 2001 international symposium on Physical design
Complexity classifications of boolean constraint satisfaction problems
Complexity classifications of boolean constraint satisfaction problems
Proceedings of the 38th annual Design Automation Conference
Chaff: engineering an efficient SAT solver
Proceedings of the 38th annual Design Automation Conference
SATIRE: a new incremental satisfiability engine
Proceedings of the 38th annual Design Automation Conference
sub-SAT: a formulation for relaxed boolean satisfiability with applications in routing
Proceedings of the 2002 international symposium on Physical design
Solving difficult SAT instances in the presence of symmetry
Proceedings of the 39th annual Design Automation Conference
Switching and Finite Automata Theory: Computer Science Series
Switching and Finite Automata Theory: Computer Science Series
Computers and Intractability: A Guide to the Theory of NP-Completeness
Computers and Intractability: A Guide to the Theory of NP-Completeness
Efficient conflict driven learning in a boolean satisfiability solver
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
SATO: An Efficient Propositional Prover
CADE-14 Proceedings of the 14th International Conference on Automated Deduction
Solving linear pseudo-Boolean constraint problems with local search
AAAI'97/IAAI'97 Proceedings of the fourteenth national conference on artificial intelligence and ninth conference on Innovative applications of artificial intelligence
FORCE: a fast and easy-to-implement variable-ordering heuristic
Proceedings of the 13th ACM Great Lakes symposium on VLSI
A fast pseudo-boolean constraint solver
Proceedings of the 40th annual Design Automation Conference
Breaking Instance-Independent Symmetries in Exact Graph Coloring
Proceedings of the conference on Design, automation and test in Europe - Volume 1
ShatterPB: symmetry-breaking for pseudo-Boolean formulas
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Effective Lower Bounding Techniques for Pseudo-Boolean Optimization
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
Pueblo: A Modern Pseudo-Boolean SAT Solver
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
Exact minimum-width transistor placement without dual constraint for CMOS cells
GLSVLSI '05 Proceedings of the 15th ACM Great Lakes symposium on VLSI
MaxSolver: an efficient exact algorithm for (weighted) maximum satisfiability
Artificial Intelligence
Dynamic symmetry-breaking for improved Boolean optimization
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Efficient Symmetry Breaking for Boolean Satisfiability
IEEE Transactions on Computers
HySAT: An efficient proof engine for bounded model checking of hybrid systems
Formal Methods in System Design
Discrete Applied Mathematics
Symmetry breaking for pseudo-Boolean formulas
Journal of Experimental Algorithmics (JEA)
Using SAT-based techniques in power estimation
Microelectronics Journal
Efficient symbolic multi-objective design space exploration
Proceedings of the 2008 Asia and South Pacific Design Automation Conference
SAT-based equivalence checking of threshold logic designs for nanotechnologies
Proceedings of the 18th ACM Great Lakes symposium on VLSI
Symbolic reliability analysis and optimization of ECU networks
Proceedings of the conference on Design, automation and test in Europe
Automated testability enhancements for logic brick libraries
Proceedings of the conference on Design, automation and test in Europe
On Boolean Functions Encodable as a Single Linear Pseudo-Boolean Constraint
CPAIOR '07 Proceedings of the 4th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
A Feasibility-Preserving Crossover and Mutation Operator for Constrained Combinatorial Problems
Proceedings of the 10th international conference on Parallel Problem Solving from Nature: PPSN X
Automatic test generation for combinational threshold logic networks
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
New Encodings of Pseudo-Boolean Constraints into CNF
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Nonlinear Pseudo-Boolean Optimization: Relaxation or Propagation?
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Algorithms for Weighted Boolean Optimization
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Finding redundant constraints in FSM minimization
AAAI'04 Proceedings of the 19th national conference on Artifical intelligence
Breaking instance-independent symmetries in exact graph coloring
Journal of Artificial Intelligence Research
MaxSolver: An efficient exact algorithm for (weighted) maximum satisfiability
Artificial Intelligence
On solving Boolean multilevel optimization problems
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
PN code acquisition using Boolean satisfiability techniques
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Efficient Proof Engines for Bounded Model Checking of Hybrid Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
A physical-location-aware X-filling method for IR-drop reduction in at-speed scan test
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Solving multi-objective pseudo-boolean problems
SAT'07 Proceedings of the 10th international conference on Theory and applications of satisfiability testing
Sensor deployment for failure diagnosis in networked aerial robots: a satisfiability-based approach
SAT'07 Proceedings of the 10th international conference on Theory and applications of satisfiability testing
Dynamic symmetry-breaking for Boolean satisfiability
Annals of Mathematics and Artificial Intelligence
Solving satisfiability problems with preferences
Constraints
A physical-location-aware X-filling method for IR-drop reduction in at-speed scan test
Proceedings of the Conference on Design, Automation and Test in Europe
Combinatorial Optimization Solutions for the Maximum Quartet Consistency Problem
Fundamenta Informaticae - RCRA 2008 Experimental Evaluation of Algorithms for Solving Problems with Combinatorial Explosion
Optimization Algorithms for the Multiplierless Realization of Linear Transforms
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Information hiding in finite state machine
IH'04 Proceedings of the 6th international conference on Information Hiding
Analysis of the conditions for the worst case switching activity in integrated circuits
Analog Integrated Circuits and Signal Processing
A SAT-based decision procedure for mixed logical/integer linear problems
CPAIOR'05 Proceedings of the Second international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
On applying cutting planes in DLL-Based algorithms for pseudo-boolean optimization
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
A SAT-based routing algorithm for cross-referencing biochips
Proceedings of the System Level Interconnect Prediction Workshop
A fast discrete placement algorithm for FPGAs
Proceedings of the ACM/SIGDA international symposium on Field Programmable Gate Arrays
Boolean lexicographic optimization: algorithms & applications
Annals of Mathematics and Artificial Intelligence
Improving unsatisfiability-based algorithms for boolean optimization
SAT'10 Proceedings of the 13th international conference on Theory and Applications of Satisfiability Testing
Counting models in integer domains
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Automatically exploiting symmetries in constraint programming
CSCLP'04 Proceedings of the 2004 joint ERCIM/CoLOGNET international conference on Recent Advances in Constraints
Conflict directed lazy decomposition
CP'12 Proceedings of the 18th international conference on Principles and Practice of Constraint Programming
A new look at BDDs for Pseudo-Boolean constraints
Journal of Artificial Intelligence Research
Sensor deployment for fault diagnosis using a new discrete optimization algorithm
Applied Soft Computing
Hi-index | 0.00 |
Optimized solvers for the Boolean Satisfiability (SAT) problem have many applications in areas such as hardware and software verification, FPGA routing, planning, etc. Further uses are complicated by the need to express "counting constraints" in conjunctive normal form (CNF). Expressing such constraints by pure CNF leads to more complex SAT instances. Alternatively, those constraints can be handled by Integer Linear Programming (ILP), but generic ILP solvers may ignore the Boolean nature of 0--1 variables. Therefore specialized 0--1 ILP solvers extend SAT solvers to handle these so-called "pseudo-Boolean" constraints.This work provides an update on the on-going competition between generic ILP techniques and specialized 0--1 ILP techniques. To make a fair comparison, we generalize recent ideas for fast SAT-solving to more general 0--1 ILP problems that may include counting constraints and optimization. Another aspect of our comparison is evaluation on 0--1 ILP benchmarks that originate in Electronic Design Automation (EDA), but that cannot be directly solved by a SAT solver. Specifically, we solve instances of the Max-SAT and Max-ONEs optimization problems which seek to maximize the number of satisfied clauses and the "true" values over all satisfying assignments, respectively. Those problems have straightforward applications to SAT-based routing and are additionally important due to reductions from Max-Cut, Max-Clique, and Min Vertex Cover. Our experimental results show that specialized 0--1 techniques tend to outperform generic ILP techniques on Boolean optimization problems as well as on general EDA SAT problems.