Algorithmic Aspects of MOS VLSI Switch-Level Simulation with Race Detection
IEEE Transactions on Computers
A metamodel of information flow: a tool to support information systems theory
Communications of the ACM
A New Approach to the Design of Testable PLA's
IEEE Transactions on Computers
Processor allocation in an N-cube multiprocessor using gray codes
IEEE Transactions on Computers
A new approach of stuck-at fault simulation for synchronous digital systems
ANSS '87 Proceedings of the 20th annual symposium on Simulation
An Algebraic Model for Asynchronous Circuits Verification
IEEE Transactions on Computers
Q-Modules: Internally Clocked Delay-Insensitive Modules
IEEE Transactions on Computers
Test Scheduling and Control for VLSI Built-in Self-Test
IEEE Transactions on Computers
Experience with test generation for real protocols
SIGCOMM '88 Symposium proceedings on Communications architectures and protocols
Delivery and discrimination: the Seine protocol
SIGCOMM '88 Symposium proceedings on Communications architectures and protocols
Hypercube management in the presence of node failures
C3P Proceedings of the third conference on Hypercube concurrent computers and applications: Architecture, software, computer systems, and general issues - Volume 1
Polynomial Testing of Packet Switching Networks
IEEE Transactions on Computers
Inference of finite automata using homing sequences
STOC '89 Proceedings of the twenty-first annual ACM symposium on Theory of computing
Bisectional Fault-Tolerant Communication Architecture for Supercomputer Systems
IEEE Transactions on Computers
An improved protocol test generation procedure based on UIOS
SIGCOMM '89 Symposium proceedings on Communications architectures & protocols
Sparse Gaussian Elimination with Controlled Fill-In on a Shared Memory Multiprocessor
IEEE Transactions on Computers
A new approach to processor allocation and task migration in an N-cube multiprocessor
Proceedings of the 1989 ACM/IEEE conference on Supercomputing
Imprecise schema: a rationale for relations with embedded subrelations
ACM Transactions on Database Systems (TODS)
Protocol conformance test generation using multiple UIO sequences with overlapping
SIGCOMM '90 Proceedings of the ACM symposium on Communications architectures & protocols
STOC '91 Proceedings of the twenty-third annual ACM symposium on Theory of computing
The FSM network model for behavioral synthesis of control-dominated machines
DAC '90 Proceedings of the 27th ACM/IEEE Design Automation Conference
On the Complexity of Generating Optimal Test Sequences
IEEE Transactions on Software Engineering
Synthesis of multiple-input change asynchronous finite state machines
DAC '91 Proceedings of the 28th ACM/IEEE Design Automation Conference
Initializability Consideration in Sequential Machine Synthesis
IEEE Transactions on Computers
PODC '92 Proceedings of the eleventh annual ACM symposium on Principles of distributed computing
Implementing Sequential Machines as Self-Timed Circuits
IEEE Transactions on Computers
A new protocol conformance test generation method and experimental results
SAC '92 Proceedings of the 1992 ACM/SIGAPP Symposium on Applied computing: technological challenges of the 1990's
The Multiple Observation Time Test Strategy
IEEE Transactions on Computers - Special issue on fault-tolerant computing
Synthesis from production-based specifications
DAC '92 Proceedings of the 29th ACM/IEEE Design Automation Conference
Recurrence equations and the optimization of synchronous logic circuits
DAC '92 Proceedings of the 29th ACM/IEEE Design Automation Conference
State assignment using input/output functions
DAC '92 Proceedings of the 29th ACM/IEEE Design Automation Conference
A new model for improving symbolic product machine traversal
DAC '92 Proceedings of the 29th ACM/IEEE Design Automation Conference
EURO-DAC '92 Proceedings of the conference on European design automation
Optimal length test sequence generation using distinguishing sequences
IEEE/ACM Transactions on Networking (TON)
Cross-fertilizing FSM verification techniques and sequential diagnosis
EURO-DAC '92 Proceedings of the conference on European design automation
Finite state machine verification on MIMD machines
EURO-DAC '92 Proceedings of the conference on European design automation
Evaluation of parts by mixed-level DC-connected components in logic simulation
DAC '93 Proceedings of the 30th international Design Automation Conference
Towards optimal system-level design
DAC '93 Proceedings of the 30th international Design Automation Conference
On the generation of minimal-length conformance tests for communication protocols
IEEE/ACM Transactions on Networking (TON)
Speculative query evaluation over databases of plans
CIKM '93 Proceedings of the second international conference on Information and knowledge management
On testing hierarchies for protocols
IEEE/ACM Transactions on Networking (TON)
IEEE/ACM Transactions on Networking (TON)
The minimization and decomposition of interface state machines
DAC '94 Proceedings of the 31st annual Design Automation Conference
Auxiliary variables for extending symbolic traversal techniques to data paths
DAC '94 Proceedings of the 31st annual Design Automation Conference
Observer-A Concept for Formal On-Line Validation of Distributed Systems
IEEE Transactions on Software Engineering
An Automaton Model for Scheduling Constraints in Synchronous Machines
IEEE Transactions on Computers
A nonblocking architecture for broadband multichannel switching
IEEE/ACM Transactions on Networking (TON)
A method for finding good Ashenhurst decompositions and its application to FPGA synthesis
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
Symbolic fault simulation for sequential circuits and the multiple observation time test strategy
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
New ideas for solving covering problems
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
Distinguishing tests for nondeterministic and probabilistic machines
STOC '95 Proceedings of the twenty-seventh annual ACM symposium on Theory of computing
Exactly learning automata with small cover time
COLT '95 Proceedings of the eighth annual conference on Computational learning theory
Target-sensitive construction of diagnostic programs for procedure calling sequence generators
PLDI '96 Proceedings of the ACM SIGPLAN 1996 conference on Programming language design and implementation
On Removing Redundancies from Synchronous Sequential Circuits with Synchronizing Sequences
IEEE Transactions on Computers
Identification of unsettable flip-flops for partial scan and faster ATPG
Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design
Counting Two-State Transition-Tour Sequences
IEEE Transactions on Computers
Using complete-1-distinguishability for FSM equivalence checking
Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design
Integrated fault diagnosis targeting reduced simulation
Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design
Storage optimization by replacing some flip-flops with latches
EURO-DAC '96/EURO-VHDL '96 Proceedings of the conference on European design automation
The maximal VHDL subset with a cycle-level abstraction
EURO-DAC '96/EURO-VHDL '96 Proceedings of the conference on European design automation
Exactly Learning Automata of Small Cover Time
Machine Learning - Special issue on the eighth annual conference on computational learning theory, (COLT '95)
Retiming sequential circuits for low power
ICCAD '93 Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design
On diagnosis and correction of design errors
ICCAD '93 Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design
Fault dictionary compression and equivalence class computation for sequential circuits
ICCAD '93 Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design
Efficient computation of unique input/output sequences in finite-state machines
IEEE/ACM Transactions on Networking (TON)
Test Generation for Multiple State-Table Faults in Finite-State Machines
IEEE Transactions on Computers
Cluster-cover: a theoretical framework for a class of VLSI-CAD optimization problems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
The power of a pebble: exploring and mapping directed graphs
STOC '98 Proceedings of the thirtieth annual ACM symposium on Theory of computing
Scientific foundations to the multilevel method
IEEE/ACM Transactions on Networking (TON)
ISLPED '98 Proceedings of the 1998 international symposium on Low power electronics and design
Redundancy Removal during High-Level Synthesis Using Scheduling Don‘t-Cares
Journal of Electronic Testing: Theory and Applications
Dynamic fault collapsing and diagnostic test pattern generation for sequential circuits
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
The General Product Machine: a New Model for Symbolic FSM Traversal
Formal Methods in System Design
On a New Boolean Function with Applications
IEEE Transactions on Computers
Precise timing verification of logic circuits under combined delay model
ICCAD '92 Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design
Initialization of Sequential Circuits and its Application to ATPG
Journal of Electronic Testing: Theory and Applications
Neural network approach to zero-one optimal covering problem
ANSS '91 Proceedings of the 24th annual symposium on Simulation
On theory revision with queries
COLT '99 Proceedings of the twelfth annual conference on Computational learning theory
A functional language for description and design of digital systems: sequential constructs
DAC '85 Proceedings of the 22nd ACM/IEEE Design Automation Conference
The design of a hardware recognizer for utilization in scanning operations
CSC '85 Proceedings of the 1985 ACM thirteenth annual conference on Computer Science
Analysis and Design of Fanout-Free Networks of Positive Symmetric Gates
Journal of the ACM (JACM)
More theory revision with queries (extended abstract)
STOC '00 Proceedings of the thirty-second annual ACM symposium on Theory of computing
Hybrid Fault Simulation for Synchronous Sequential Circuits
Journal of Electronic Testing: Theory and Applications
Analysis of composition complexity and how to obtain smaller canonical graphs
Proceedings of the 37th Annual Design Automation Conference
A Buffer-Oriented Methodology for Microarchitecture Validation
Journal of Electronic Testing: Theory and Applications - Special issue on microprocessor test and verification
DATE '00 Proceedings of the conference on Design, automation and test in Europe
Hardware/software synthesis of formal specifications in codesign of embedded systems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Oscillatory Metastability in Optical Network Synchronizer Circuits
The Journal of Supercomputing
Synchronization with eventcounts and sequencers
Communications of the ACM
Efficient string matching: an aid to bibliographic search
Communications of the ACM
Efficient compilation of process-based concurrent programs without run-time scheduling
Proceedings of the conference on Design, automation and test in Europe
Data and memory optimization techniques for embedded systems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Using complete-1-distinguishability for FSM equivalence checking
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Techniques for the construction of small and fast lexical analyzers
ACM-SE 16 Proceedings of the 16th annual Southeast regional conference
Closed Partition Lattice and Machine Decomposition
IEEE Transactions on Computers - Special issue on fault-tolerant embedded systems
Synthesis of an 8051-Like Micro-Controller Tolerant to Transient Faults
Journal of Electronic Testing: Theory and Applications
Fast three-level logic minimization based on autosymmetry
Proceedings of the 39th annual Design Automation Conference
Initializability analysis of synchronous sequential circuits
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Logic Synthesis and Verification
Optimization of synchronous circuits
Logic Synthesis and Verification
Stochastic activity networks: formal definitions and concepts
Lectures on formal methods and performance analysis
Knowledge Extraction from Transducer Neural Networks
Applied Intelligence
Applying Learning by Examples for Digital Design Automation
Applied Intelligence
Bisimulation, the Supervisory Control Problem and StrongModel Matching for Finite State Machines
Discrete Event Dynamic Systems
An Efficient Algorithm to Generate Prime Implicants
Journal of Automated Reasoning
Theory Revision with Queries: DNF Formulas
Machine Learning
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Using DEVS Formalism to operationalize ELP Models for diagnosis in SACHEM
Transactions of the Society for Computer Simulation International - Recent advances in DEVS Methodology--part I
Effectiveness of Microarchitecture Test Program Generation
IEEE Design & Test
A Distributed Resource Management Mechanism for a Partitionable Multiprocessor System
IEEE Transactions on Computers
Diagnosability and Diagnosis of Algorithm-Based Fault-Tolerant Systems
IEEE Transactions on Computers
Classification of Faults in Synchronous Sequential Circuits
IEEE Transactions on Computers
Testing of Fault-Tolerant Hardware Through Partial Control of Inputs
IEEE Transactions on Computers
Testing Finite-State Machines: State Identification and Verification
IEEE Transactions on Computers
Application of Homing Sequences to Synchronous Sequential Circuit Testing
IEEE Transactions on Computers
IEEE Transactions on Knowledge and Data Engineering
Orthogonal Graphs for the Construction of a Class of Interconnection Networks
IEEE Transactions on Parallel and Distributed Systems
A Fault-Tolerant Distributed Subcube Management Scheme for Hypercube Multicomputer Systems
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Software Engineering
Automatically Generating Test Data from a Boolean Specification
IEEE Transactions on Software Engineering
Spiking neurons and the induction of finite state machines
Theoretical Computer Science - Natural computing
The power of a pebble: exploring and mapping directed graphs
Information and Computation
IEEE Transactions on Software Engineering
Handling Continuous-Valued Attributes in Decision Tree with Neural Network Modelling
ECML '00 Proceedings of the 11th European Conference on Machine Learning
An A-Prolog Decision Support System for the Space Shuttle
PADL '01 Proceedings of the Third International Symposium on Practical Aspects of Declarative Languages
Rapid Prototyping Techniques for Fuzzy Controllers
ASIAN '99 Proceedings of the 5th Asian Computing Science Conference on Advances in Computing Science
ICALP '01 Proceedings of the 28th International Colloquium on Automata, Languages and Programming,
Searching Powerset Automata by Combining Explicit-State and Symbolic Model Checking
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
From Asymmetry to Full Symmetry: New Techniques for Symmetry Reduction in Model Checking
CHARME '99 Proceedings of the 10th IFIP WG 10.5 Advanced Research Working Conference on Correct Hardware Design and Verification Methods
An Overview of Hybrid Simulation Phenomena and Their Support by Simulation Packages
HSCC '99 Proceedings of the Second International Workshop on Hybrid Systems: Computation and Control
Comparing TorX, Autolink, TGV and UIO Test Algorithms
SDL '01 Proceedings of the 10th International SDL Forum Copenhagen on Meeting UML
Model Checking the IBM Gigahertz Processor: An Abstraction Algorithm for High-Performance Netlists
CAV '99 Proceedings of the 11th International Conference on Computer Aided Verification
TAO: regular expression based high-level testability analysis and optimization
ITC '98 Proceedings of the 1998 IEEE International Test Conference
Optimal Synthesis Method for Binary Neural Network Using NETLA
AFSS '02 Proceedings of the 2002 AFSS International Conference on Fuzzy Systems. Calcutta: Advances in Soft Computing
Reachability problems for sequential dynamical systems with threshold functions
Theoretical Computer Science - Mathematical foundations of computer science
From bidirectionality to alternation
Theoretical Computer Science - Mathematical foundations of computer science
Fault model-driven test derivation from finite state models: annotated bibliography
Modeling and verification of parallel processes
Journal of Automata, Languages and Combinatorics - Selected papers of the workshop on logic and algebra for concurrency
Generic ILP versus specialized 0-1 ILP: an update
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
EDTC '96 Proceedings of the 1996 European conference on Design and Test
Reducing Address Bus Transitions for Low Power Memory Mapping
EDTC '96 Proceedings of the 1996 European conference on Design and Test
On the use of reset to increase the testability of interconnected finite-state machines
EDTC '97 Proceedings of the 1997 European conference on Design and Test
Limitations of VLSI implementation of delay-insensitive codes
FTCS '96 Proceedings of the The Twenty-Sixth Annual International Symposium on Fault-Tolerant Computing (FTCS '96)
Test strategy for microprocessers
DAC '83 Proceedings of the 20th Design Automation Conference
Taking into account asynchronous signals in functional test of complex circuits
DAC '84 Proceedings of the 21st Design Automation Conference
A gate level model for CMOS combinational logic circuits with application to fault detection
DAC '84 Proceedings of the 21st Design Automation Conference
Hardware description levels and test for complex circuits
DAC '81 Proceedings of the 18th Design Automation Conference
Automatic generation of cells for recurrence structures
DAC '81 Proceedings of the 18th Design Automation Conference
Algorithm implementation on specific microprogrammed structure
MICRO 13 Proceedings of the 13th annual workshop on Microprogramming
Self-checking alternating logic: Sequential circuit design
ISCA '78 Proceedings of the 5th annual symposium on Computer architecture
A survey of techniques to reduce/minimize the control part/rom of a microprogrammed digital computer
MICRO 7 Conference record of the 7th annual workshop on Microprogramming
A logic minimizer for VLSI PLA design
DAC '82 Proceedings of the 19th Design Automation Conference
Hardware systems in the core curriculum of a computer science ph.d. program
SIGCSE '74 Proceedings of the fourth SIGCSE technical symposium on Computer science education
Time flow mechanisms for use in digital logic simulation
WSC '71 Proceedings of the 5th conference on Winter simulation
An Abstraction Algorithm for the Verification of Level-Sensitive Latch-Based Netlists
Formal Methods in System Design
PLA based synthesis and testing of hazard free logic
VLSID '95 Proceedings of the 8th International Conference on VLSI Design
Sequential Circuit Testing: From DFT to SFT
VLSID '97 Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
A New Synthesis of Symmetric Functions
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
Behavioral Fault Modeling in a VHDL Synthesis Environment
VTS '99 Proceedings of the 1999 17TH IEEE VLSI Test Symposium
An Objective Measure of Digital System Design Quality
ISQED '00 Proceedings of the 1st International Symposium on Quality of Electronic Design
Synthesizing Finite State Machines for Minimum Length Synchronizing Sequence Using Partial Scan
FTCS '95 Proceedings of the Twenty-Fifth International Symposium on Fault-Tolerant Computing
On Detecting Global Predicates in Distributed Computations
ICDCS '01 Proceedings of the The 21st International Conference on Distributed Computing Systems
On-Line Monitor Design of Finite-State Machines
Journal of Electronic Testing: Theory and Applications
Experience in functional-level test generation and fault coverage in a silicon compiler
EURO-DAC '90 Proceedings of the conference on European design automation
A new method for the state reduction of incompletely specified finite sequential machines
EURO-DAC '90 Proceedings of the conference on European design automation
Exact and heuristic algorithms for the minimization of incompletely specified state machines
EURO-DAC '91 Proceedings of the conference on European design automation
Fast heuristic algorithms for finite state machine minimization
EURO-DAC '91 Proceedings of the conference on European design automation
Synthesis of fully testable sequential machines
EURO-DAC '91 Proceedings of the conference on European design automation
Automatic Detection and Diagnosis of Faults in Generated Code for Procedure Calls
IEEE Transactions on Software Engineering
Theoretical Computer Science
Synthesis and Optimization of Threshold Logic Networks with Application to Nanotechnologies
Proceedings of the conference on Design, automation and test in Europe - Volume 2
Saving Power by Mapping Finite-State Machines into Embedded Memory Blocks in FPGAs
Proceedings of the conference on Design, automation and test in Europe - Volume 2
An Algorithm for Nano-Pipelining of Circuits and Architectures for a Nanotechnology
Proceedings of the conference on Design, automation and test in Europe - Volume 2
COLING '73 Proceedings of the 5th conference on Computational linguistics - Volume 1
A new state assignment technique for testing and low power
Proceedings of the 41st annual Design Automation Conference
Formal Methods in System Design
Theory revision with queries: horn, read-once, and parity formulas
Artificial Intelligence
Common knowledge and update in finite environments. I: extended abstract
TARK '94 Proceedings of the 5th conference on Theoretical aspects of reasoning about knowledge
Synchronization issues in protocol testing
SIGCOMM '83 Proceedings of the symposium on Communications Architectures & Protocols
Automating test generation for discrete event oriented embedded systems
Journal of Intelligent and Robotic Systems
A formal approach to designing delay-insensitive circuits
Distributed Computing
Principles of Sequential-Equivalence Verification
IEEE Design & Test
Conformance testing in the presence of multiple faults
SODA '05 Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms
Blister: GPU-based rendering of Boolean combinations of free-form triangulated shapes
ACM SIGGRAPH 2005 Papers
Encyclopedia of Computer Science
Encyclopedia of Computer Science
Encyclopedia of Computer Science
Energy Aware Computing through Probabilistic Switching: A Study of Limits
IEEE Transactions on Computers
Testing from Partial Deterministic FSM Specifications
IEEE Transactions on Computers
Integration, the VLSI Journal
A Formal Approach to On-Line Monitoring of Digital VLSI Circuits: Theory, Design and Implementation
Journal of Electronic Testing: Theory and Applications
EXPERIMENTAL EVALUATION OF FSM-BASED TESTING METHODS
SEFM '05 Proceedings of the Third IEEE International Conference on Software Engineering and Formal Methods
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Spatiotemporal Connectionist Networks: A Taxonomy and Review
Neural Computation
Transistor-Level Optimization of Supergates
ISQED '06 Proceedings of the 7th International Symposium on Quality Electronic Design
GLSVLSI '06 Proceedings of the 16th ACM Great Lakes symposium on VLSI
A coverage metric for the validation of interacting processes
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Journal of Electronic Testing: Theory and Applications
Memory analysis and significance test for agent behaviours
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Solving identification problem for asynchronous finite state machines using genetic algorithms
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Complexity of reachability problems for finite discrete dynamical systems
Journal of Computer and System Sciences
Combinational equivalence checking for threshold logic circuits
Proceedings of the 17th ACM Great Lakes symposium on VLSI
Mapping arbitrary logic functions into synchronous embedded memories for area reduction on FPGAs
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
A quantitative analysis of memory requirement and generalization performance for robotic tasks
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Predecessor existence problems for finite discrete dynamical systems
Theoretical Computer Science
Sequential Fault Diagnosis of Modular Systems
IEEE Transactions on Computers
Application of Information Theory to Sequential Fault Diagnosis
IEEE Transactions on Computers
Existence Algorithms for Synchronizing/Distinguishing Sequences
IEEE Transactions on Computers
Realization of Fault-Tolerant Machines Linear Code Application
IEEE Transactions on Computers
An On-Line Algorithm for the Location of Cross Point Faults in Programmable Logic Arrays
IEEE Transactions on Computers
A Design for Testability of Undetectable Crosspoint Faults in Programmable Logic Arrays
IEEE Transactions on Computers
Equivalence of the Arbiter, the Synchronizer, the Latch, and the Inertial Delay
IEEE Transactions on Computers
Microprogram Optimization: A Survey
IEEE Transactions on Computers
An automated model-based debugging approach
Proceedings of the twenty-second IEEE/ACM international conference on Automated software engineering
ACST'07 Proceedings of the third conference on IASTED International Conference: Advances in Computer Science and Technology
A methodology for transistor-efficient supergate design
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Asynchronous machines exhibiting concurrency
Record of the Project MAC conference on concurrent systems and parallel computation
A framework for layout-level logic restructuring
Proceedings of the 2008 international symposium on Physical design
Decomposition based approach for synthesis of multi-level threshold logic circuits
Proceedings of the 2008 Asia and South Pacific Design Automation Conference
Synthesis of cyclic encoder and decoder for high speed networks
ISCGAV'05 Proceedings of the 5th WSEAS International Conference on Signal Processing, Computational Geometry & Artificial Vision
Diversity-based inference of finite automata
SFCS '87 Proceedings of the 28th Annual Symposium on Foundations of Computer Science
A novel technique for synthesizing distributed and concurrent protocol specifications
SEPADS'08 Proceedings of the 7th WSEAS International Conference on Software Engineering, Parallel and Distributed Systems
CiE '07 Proceedings of the 3rd conference on Computability in Europe: Computation and Logic in the Real World
The realization of symmetric switching functions using magnetic bubble technology
AFIPS '73 Proceedings of the June 4-8, 1973, national computer conference and exposition
Automatic test generation for combinational threshold logic networks
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Group-Linking Method: A Unified Benchmark for Machine Learning with Recurrent Neural Network
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Heuristic search + symbolic model checking = efficient conformant planning
IJCAI'01 Proceedings of the 17th international joint conference on Artificial intelligence - Volume 1
A new software complexity metrics for computer communication network
SEA '07 Proceedings of the 11th IASTED International Conference on Software Engineering and Applications
Codes for self-clocking, AC-coupled transmission: aspects of synthesis and analysis
IBM Journal of Research and Development
Decomposable fuzzy-valued switching functions
Fuzzy Sets and Systems
A proof rule for restoring logic circuits
Integration, the VLSI Journal
Integration, the VLSI Journal
New directions in fuzzy automata
International Journal of Approximate Reasoning
Testable design of digital summation threshold logic array for synthesis of symmetric functions
International Journal of Computers and Applications
Fuzzifying the thoughts of animats
IFSA'03 Proceedings of the 10th international fuzzy systems association World Congress conference on Fuzzy sets and systems
The traveling beams optical solutions for bounded NP-complete problems
FUN'07 Proceedings of the 4th international conference on Fun with algorithms
Complexity reduction for the design of interacting controllers
HSCC'07 Proceedings of the 10th international conference on Hybrid systems: computation and control
Generating checking sequences for a distributed test architecture
TestCom'03 Proceedings of the 15th IFIP international conference on Testing of communicating systems
Coalgebraic foundations of linear systems
CALCO'07 Proceedings of the 2nd international conference on Algebra and coalgebra in computer science
Memory reduction for strategies in infinite games
CIAA'07 Proceedings of the 12th international conference on Implementation and application of automata
Efficient computation of the best quadratic approximations of cubic boolean functions
Cryptography and Coding'07 Proceedings of the 11th IMA international conference on Cryptography and coding
Regular inference for state machines using domains with equality tests
FASE'08/ETAPS'08 Proceedings of the Theory and practice of software, 11th international conference on Fundamental approaches to software engineering
On formal equivalence verification of hardware
CSR'08 Proceedings of the 3rd international conference on Computer science: theory and applications
Synthesis of distinguishing test cases for timed finite state machines
Programming and Computing Software
FSM-based conformance testing methods: A survey annotated with experimental evaluation
Information and Software Technology
Reducing the state space of incompletely specified timed Moore machines
SpringSim '10 Proceedings of the 2010 Spring Simulation Multiconference
Basic notions in computational complexity
Algorithms and theory of computation handbook
A hybrid fault simulator for synchronous sequential circuits
ITC'94 Proceedings of the 1994 international conference on Test
On achieving complete testability of synchronous sequential circuits with synchronizing sequences
ITC'94 Proceedings of the 1994 international conference on Test
MICAI'10 Proceedings of the 9th Mexican international conference on Artificial intelligence conference on Advances in soft computing: Part II
CADOC: a system for computed aided functional test
ITC'84 Proceedings of the 1984 international test conference on The three faces of test: design, characterization, production
Hardware and software tools for microprocessor functional test
ITC'84 Proceedings of the 1984 international test conference on The three faces of test: design, characterization, production
A technique for making asynchronous sequential circuits readily testable
ITC'84 Proceedings of the 1984 international test conference on The three faces of test: design, characterization, production
A relational approach to functional decomposition of logic circuits
ACM Transactions on Database Systems (TODS)
The identification of discrete-event dynamic systems based on the evolutionary programming
International Journal of Knowledge-based and Intelligent Engineering Systems
Parametric fault model for RTD based threshold logic gates
ICC'06 Proceedings of the 10th WSEAS international conference on Circuits
A regular expression matching circuit based on a decomposed automaton
ARC'11 Proceedings of the 7th international conference on Reconfigurable computing: architectures, tools and applications
Performance-driven synthesis in controller-datapath systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Modeling of IMS call flows: load estimation for core network components
Journal of Mobile Multimedia
Preset and adaptive homing experiments for nondeterministic finite state machines
CIAA'11 Proceedings of the 16th international conference on Implementation and application of automata
Correct and non-defensive glue design using abstract models
CODES+ISSS '11 Proceedings of the seventh IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Synchronizing sequences on not strongly connected Petri nets (Work-in-Progress)
Proceedings of the 2011 Symposium on Theory of Modeling & Simulation: DEVS Integrative M&S Symposium
ISTSM: incompletely specified timed sequential machines (Work-in-Progress)
Proceedings of the 2011 Symposium on Theory of Modeling & Simulation: DEVS Integrative M&S Symposium
Refinement and Connectivity Algorithms for Adaptive Discontinuous Galerkin Methods
SIAM Journal on Scientific Computing
Compositional testing of communication systems
TestCom'06 Proceedings of the 18th IFIP TC6/WG6.1 international conference on Testing of Communicating Systems
Using distinguishing and UIO sequences together in a checking sequence
TestCom'06 Proceedings of the 18th IFIP TC6/WG6.1 international conference on Testing of Communicating Systems
Proceedings of the 2011 ACM Symposium on Research in Applied Computation
A unified design method utilizing decomposability and composability for secure systems
Proceedings of the 2011 ACM Symposium on Research in Applied Computation
Generalizing redundancy elimination in checking sequences
ISCIS'05 Proceedings of the 20th international conference on Computer and Information Sciences
Conditions for avoiding controllability problems in distributed testing
ICFEM'06 Proceedings of the 8th international conference on Formal Methods and Software Engineering
On rewiring and simplification for canonicity in threshold logic circuits
Proceedings of the International Conference on Computer-Aided Design
On some transformation invariants under retiming and resynthesis
TACAS'05 Proceedings of the 11th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Coping with nondeterminism in network protocol testing
TestCom'05 Proceedings of the 17th IFIP TC6/WG 6.1 international conference on Testing of Communicating Systems
An expressive and implementable formal framework for testing real-time systems
TestCom'05 Proceedings of the 17th IFIP TC6/WG 6.1 international conference on Testing of Communicating Systems
Nonlinear complexity of binary sequences and connections with lempel-ziv compression
SETA'06 Proceedings of the 4th international conference on Sequences and Their Applications
Minimization, learning, and conformance testing of boolean programs
CONCUR'06 Proceedings of the 17th international conference on Concurrency Theory
State-Identification problems for finite-state transducers
FATES'06/RV'06 Proceedings of the First combined international conference on Formal Approaches to Software Testing and Runtime Verification
Semantics for UML model transformation and generation of regular grammar
ACM SIGSOFT Software Engineering Notes
A low-cost and high-performance virus scanning engine using a binary CAM emulator and an MPU
ARC'12 Proceedings of the 8th international conference on Reconfigurable Computing: architectures, tools and applications
An efficient heuristic to identify threshold logic functions
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Minimizing the cost of fault location when testing from a finite state machine
Computer Communications
Proceedings of the 2012 Symposium on Theory of Modeling and Simulation - DEVS Integrative M&S Symposium
Conformance testing of boolean programs with multiple faults
FMOODS'12/FORTE'12 Proceedings of the 14th joint IFIP WG 6.1 international conference and Proceedings of the 32nd IFIP WG 6.1 international conference on Formal Techniques for Distributed Systems
Formal Verification and Diagnosis of Combinational Circuit Designs with Propositional Logic
Fundamenta Informaticae
A bounded incremental test generation algorithm for finite state machines
TestCom'07/FATES'07 Proceedings of the 19th IFIP TC6/WG6.1 international conference, and 7th international conference on Testing of Software and Communicating Systems
Diagnosability of discrete event systems for temporary failures
Computers and Electrical Engineering
An efficient locking and unlocking method of sequential systems
Proceedings of the 2012 ACM Research in Applied Computation Symposium
Software test automation using DEVSimPy environment
Proceedings of the 2013 ACM SIGSIM conference on Principles of advanced discrete simulation
On the length of homing sequences for nondeterministic finite state machines
CIAA'13 Proceedings of the 18th international conference on Implementation and Application of Automata
Sensitization criterion for threshold logic circuits and its application
Proceedings of the International Conference on Computer-Aided Design
Runtime analysis of the (1+1) EA on computing unique input output sequences
Information Sciences: an International Journal
Output feedback control of asynchronous sequential machines with disturbance inputs
Information Sciences: an International Journal
Hi-index | 0.14 |