A Buffer-Oriented Methodology for Microarchitecture Validation

  • Authors:
  • Noppanunt Utamaphethai;R. D. (Shawn) Blanton;John Paul Shen

  • Affiliations:
  • Department of Electrical and Computer Engineering, Carnegie Mellon University, Pittsburgh, PA 15213. nau@ece.cmu.edu;Department of Electrical and Computer Engineering, Carnegie Mellon University, Pittsburgh, PA 15213. blanton@ece.cmu.edu;Department of Electrical and Computer Engineering, Carnegie Mellon University, Pittsburgh, PA 15213. shen@ece.cmu.edu

  • Venue:
  • Journal of Electronic Testing: Theory and Applications - Special issue on microprocessor test and verification
  • Year:
  • 2000

Quantified Score

Hi-index 0.01

Visualization

Abstract

We propose a methodology for validating microarchitecturespecifications. We view microarchitecture features as specificoperations on entries of various buffers in the processor. Ourvalidation approach is to determine the functionality of a buffertype, model its operations at the microarchitecture level usingabstract finite state machine (FSM) models, and rigorously generateinstruction sequences that systematically exercise the model of eachinstance of that buffer type. A high-level test sequence is derivedbased on the abstract FSM model using FSM testing techniques, andthen translated to a test program that exercises the functionality ofeach buffer entry. This methodology is applied to themicroarchitecture specifications of the PowerPC 604. Theeffectiveness of the sequences generated using our methodology iscompared with that of some real and randomly-generated programs.Simulation results show that all targeted FSM transitions are coveredby our sequences with at least 1000 × and 3 × fewerinstructions than real and randomly-generated programs, respectively.