An empirical evaluation of three methods for deadlock analysis of Ada tasking programs
ISSTA '94 Proceedings of the 1994 ACM SIGSOFT international symposium on Software testing and analysis
The complexity of verification
STOC '94 Proceedings of the twenty-sixth annual ACM symposium on Theory of computing
BDD variable ordering for interacting finite state machines
DAC '94 Proceedings of the 31st annual Design Automation Conference
Fitting formal methods into the design cycle
DAC '94 Proceedings of the 31st annual Design Automation Conference
HSIS: a BDD-based environment for formal verification
DAC '94 Proceedings of the 31st annual Design Automation Conference
(V)HDL-based verification of heterogeneous synchronous/asynchronous systems
EURO-DAC '94 Proceedings of the conference on European design automation
Computing binary decision diagrams for VHDL data types
EURO-DAC '94 Proceedings of the conference on European design automation
Verity—a formal verification program for custom CMOS circuits
IBM Journal of Research and Development - Special issue: IBM CMOS technology
Formal Verification for Fault-Tolerant Architectures: Prolegomena to the Design of PVS
IEEE Transactions on Software Engineering
Model checking in industrial hardware design
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
Equivalence checking of datapaths based on canonical arithmetic expressions
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
Verification of a production cell using an automatic verification environment for VHDL
EURO-DAC '95/EURO-VHDL '95 Proceedings of the conference on European design automation
Sequential synthesis using S1S
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
Where Do Operations Come From? A Multiparadigm Specification Technique
IEEE Transactions on Software Engineering - Special issue: best papers of the 1996 international symposium on software testing and analysis ISSTA'96
Model checking large software specifications
SIGSOFT '96 Proceedings of the 4th ACM SIGSOFT symposium on Foundations of software engineering
Verification of asynchronous circuits using time Petri net unfolding
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Verification of electronic systems
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Functional verification methodology of Chameleon processor
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Word level model checking—avoiding the Pentium FDIV error
DAC '96 Proceedings of the 33rd annual Design Automation Conference
RuleBase: an industry-oriented formal verification tool
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Integrating formal verification methods with a conventional project design flow
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Formal methods: state of the art and future directions
ACM Computing Surveys (CSUR) - Special ACM 50th-anniversary issue: strategic directions in computing research
Tearing based automatic abstraction for CTL model checking
Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design
CTL model checking based on forward state traversal
Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design
The MENTOR workbench for enterprise-wide workflow management
SIGMOD '97 Proceedings of the 1997 ACM SIGMOD international conference on Management of data
An Industrial Strength Theorem Prover for a Logic Based on Common Lisp
IEEE Transactions on Software Engineering
IEEE Transactions on Software Engineering - Special issue on formal methods in software practice
Model checking for programming languages using VeriSoft
Proceedings of the 24th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Synthesis of speed-independent circuits from STG-unfolding segment
DAC '97 Proceedings of the 34th annual Design Automation Conference
Hierarchical random simulation approach for the verification of S/390 CMOS multiprocessors
DAC '97 Proceedings of the 34th annual Design Automation Conference
Formal verification of a superscalar execution unit
DAC '97 Proceedings of the 34th annual Design Automation Conference
Formal verification in a commercial setting
DAC '97 Proceedings of the 34th annual Design Automation Conference
Reachability analysis using partitioned-ROBDDs
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
Record & play: a structural fixed point iteration for sequential circuit verification
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
Forward model checking techniques oriented to buggy designs
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
Applying static analysis to software architectures
ESEC '97/FSE-5 Proceedings of the 6th European SOFTWARE ENGINEERING conference held jointly with the 5th ACM SIGSOFT international symposium on Foundations of software engineering
Model checking graphical user interfaces using abstractions
ESEC '97/FSE-5 Proceedings of the 6th European SOFTWARE ENGINEERING conference held jointly with the 5th ACM SIGSOFT international symposium on Foundations of software engineering
Data flow analysis is model checking of abstract interpretations
POPL '98 Proceedings of the 25th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Improving efficiency of symbolic model checking for state-based system requirements
Proceedings of the 1998 ACM SIGSOFT international symposium on Software testing and analysis
Verifying systems with integer constraints and Boolean predicates: a composite approach
Proceedings of the 1998 ACM SIGSOFT international symposium on Software testing and analysis
Proceedings of the 1998 ACM SIGSOFT international symposium on Software testing and analysis
IBM Journal of Research and Development - Special issue: IBM S/390 G3 and G4
Asynchronous interface specification, analysis and synthesis
DAC '98 Proceedings of the 35th annual Design Automation Conference
Incremental CTL model checking using BDD subsetting
DAC '98 Proceedings of the 35th annual Design Automation Conference
Efficient state classification of finite state Markov chains
DAC '98 Proceedings of the 35th annual Design Automation Conference
Hybrid verification using saturated simulation
DAC '98 Proceedings of the 35th annual Design Automation Conference
Hybrid techniques for fast functional simulation
DAC '98 Proceedings of the 35th annual Design Automation Conference
Using “test model-checking” to verify the Runway-PA8000 memory model
Proceedings of the tenth annual ACM symposium on Parallel algorithms and architectures
Automatically closing open reactive programs
PLDI '98 Proceedings of the ACM SIGPLAN 1998 conference on Programming language design and implementation
Filter-based model checking of partial systems
SIGSOFT '98/FSE-6 Proceedings of the 6th ACM SIGSOFT international symposium on Foundations of software engineering
Adaptive variable reordering for symbolic model checking
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
Formal verification of pipeline control using controlled token nets and abstract interpretation
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
Symbolic model checking of process networks using interval diagram techniques
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
Using partial evaluation to enable verification of concurrent software
ACM Computing Surveys (CSUR) - Special issue: electronic supplement to the September 1998 issue
From Centralized Workflow Specification to Distributed WorkflowExecution
Journal of Intelligent Information Systems - Special issue on workflow management systems
The Synchronous Approach to Designing Reactive Systems
Formal Methods in System Design - Special issue: industrial critical systems
Managing Conflicts in Goal-Driven Requirements Engineering
IEEE Transactions on Software Engineering
Using Abstraction and Model Checking to Detect Safety Violations in Requirements Specifications
IEEE Transactions on Software Engineering
Checking safety properties using compositional reachability analysis
ACM Transactions on Software Engineering and Methodology (TOSEM)
Inferring Declarative Requirements Specifications from Operational Scenarios
IEEE Transactions on Software Engineering
Property specification patterns for finite-state verification
FMSP '98 Proceedings of the second workshop on Formal methods in software practice
Decoupling synchronization from local control for efficient symbolic model checking of statecharts
Proceedings of the 21st international conference on Software engineering
Data flow analysis for checking properties of concurrent Java programs
Proceedings of the 21st international conference on Software engineering
Patterns in property specifications for finite-state verification
Proceedings of the 21st international conference on Software engineering
Trace-Based Abstract Interpretation of Operational Semantics
Lisp and Symbolic Computation
High-confidence design for security: don't trust—verify
Communications of the ACM
Verifying imprecisely working arithmetic circuits
DATE '99 Proceedings of the conference on Design, automation and test in Europe
Procedure-Level Verification of Real-time Concurrent Systems
Real-Time Systems
Micro architecture coverage directed generation of test programs
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Coverage estimation for symbolic model checking
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Symbolic model checking using SAT procedures instead of BDDs
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Enhancing simulation with BDDs and ATPG
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Symbolic Protocol Verification with Queue BDDs
Formal Methods in System Design
Using model checking to generate tests from requirements specifications
ESEC/FSE-7 Proceedings of the 7th European software engineering conference held jointly with the 7th ACM SIGSOFT international symposium on Foundations of software engineering
Formal verification and analysis of multimedia systems
MULTIMEDIA '99 Proceedings of the seventh ACM international conference on Multimedia (Part 1)
ACM Transactions on Programming Languages and Systems (TOPLAS)
Modular refinement of hierarchic reactive machines
Proceedings of the 27th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Formal Methods in System Design - Special issue on The First Federated Logic Conference (FLOC'96), part II
Formal Methods in System Design - Special issue on The First Federated Logic Conference (FLOC'96), part II
Speeding up symbolic model checking by accelerating dynamic variable reordering
GLSVLSI '00 Proceedings of the 10th Great Lakes symposium on VLSI
Using shape analysis to reduce finite-state models of concurrent Java programs
ACM Transactions on Software Engineering and Methodology (TOSEM)
Composite model-checking: verification with type-specific symbolic representations
ACM Transactions on Software Engineering and Methodology (TOSEM)
Automatic test bench generation for simulation-based validation
CODES '00 Proceedings of the eighth international workshop on Hardware/software codesign
Formal specification: a roadmap
Proceedings of the Conference on The Future of Software Engineering
Requirements engineering in the year 00: a research perspective
Proceedings of the 22nd international conference on Software engineering
Action Language: a specification language for model checking reactive systems
Proceedings of the 22nd international conference on Software engineering
Bandera: extracting finite-state models from Java source code
Proceedings of the 22nd international conference on Software engineering
To split or to conjoin: the question in image computation
Proceedings of the 37th Annual Design Automation Conference
Symbolic guided search for CTL model checking
Proceedings of the 37th Annual Design Automation Conference
Assertion checking by combined word-level ATPG and modular arithmetic constraint-solving techniques
Proceedings of the 37th Annual Design Automation Conference
Efficient methods for embedded system design space exploration
Proceedings of the 37th Annual Design Automation Conference
Efficient variable ordering using aBDD based sampling
Proceedings of the 37th Annual Design Automation Conference
Bandera: a source-level interface for model checking Java programs
Proceedings of the 22nd international conference on Software engineering
Least fixpoint approximations for reachability analysis
ICCAD '99 Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design
Modelling Asynchrony with a Synchronous Model
Formal Methods in System Design
Synchronous equivalence for embedded systems: a tool for design exploration
ICCAD '99 Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design
FunState—an internal design representation for codesign
ICCAD '99 Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design
A composite model checking toolset for analyzing software systems
ACM SIGSOFT Software Engineering Notes
Adapting programming languages technologies for finite-state verification
ACM SIGSOFT Software Engineering Notes
A Buffer-Oriented Methodology for Microarchitecture Validation
Journal of Electronic Testing: Theory and Applications - Special issue on microprocessor test and verification
Automatic Vector Generation Using Constraints and Biasing
Journal of Electronic Testing: Theory and Applications - Special issue on microprocessor test and verification
Automatic lighthouse generation for directed state space search
DATE '00 Proceedings of the conference on Design, automation and test in Europe
Iterative abstraction-based CTL model checking
DATE '00 Proceedings of the conference on Design, automation and test in Europe
Putting static analysis to work for verification: A case study
Proceedings of the 2000 ACM SIGSOFT international symposium on Software testing and analysis
Verifying properties of process definitions
Proceedings of the 2000 ACM SIGSOFT international symposium on Software testing and analysis
Verifying Temporal Properties of Reactive Systems: A STeP Tutorial
Formal Methods in System Design
Handling Obstacles in Goal-Oriented Requirements Engineering
IEEE Transactions on Software Engineering - special section on current trends in exception handling—part II
Symbolic Model Checking for Self-Stabilizing Algorithms
IEEE Transactions on Parallel and Distributed Systems
Simulation-guided property checking based on a multi-valued AR-automata
Proceedings of the conference on Design, automation and test in Europe
Model abstraction for formal verification
Proceedings of the conference on Design, automation and test in Europe
Formal specification in VHDL for hardware verification
Proceedings of the conference on Design, automation and test in Europe
Efficient verification using generalized partial order analysis
Proceedings of the conference on Design, automation and test in Europe
ASP-DAC '00 Proceedings of the 2000 Asia and South Pacific Design Automation Conference
Formal verification based on assume and guarantee approach — a case study (short paper)
ASP-DAC '00 Proceedings of the 2000 Asia and South Pacific Design Automation Conference
Slicing Software for Model Construction
Higher-Order and Symbolic Computation
Application of linearly transformed BDDs in sequential verification
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
A 3-step approach for performance-driven whole-chip routing
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
Verification of Large State/Event Systems Using Compositionality and Dependency Analysis
Formal Methods in System Design
Optimizing Symbolic Model Checking for Statecharts
IEEE Transactions on Software Engineering - Special issue on 1999 international conference on software engineering
Partial-Order Reduction in Symbolic State-Space Exploration
Formal Methods in System Design - Special issue on CAV '97
A New Heuristic for Bad Cycle Detection Using BDDs
Formal Methods in System Design - Special issue on CAV '97
Efficient Detection of Vacuity in Temporal Model Checking
Formal Methods in System Design - Special issue on CAV '97
Automated Correctness Condition Generation for Formal Verification ofSynthesized RTL Designs
Formal Methods in System Design - Special issue on formal methods for computer-added design
Formal property verification by abstraction refinement with formal, simulation and hybrid engines
Proceedings of the 38th annual Design Automation Conference
Semi-formal test generation with genevieve
Proceedings of the 38th annual Design Automation Conference
Proceedings of the 38th annual Design Automation Conference
Bebop: a path-sensitive interprocedural dataflow engine
PASTE '01 Proceedings of the 2001 ACM SIGPLAN-SIGSOFT workshop on Program analysis for software tools and engineering
Implementing LTL model checking with net unfoldings
SPIN '01 Proceedings of the 8th international SPIN workshop on Model checking of software
Directed explicit model checking with HSF-SPIN
SPIN '01 Proceedings of the 8th international SPIN workshop on Model checking of software
Verification experiments on the MASCARA protocol
SPIN '01 Proceedings of the 8th international SPIN workshop on Model checking of software
p2b: a translation utility for linking promela and symbolic model checking (tool paper)
SPIN '01 Proceedings of the 8th international SPIN workshop on Model checking of software
ICSE '01 Proceedings of the 23rd International Conference on Software Engineering
Tool-supported program abstraction for finite-state verification
ICSE '01 Proceedings of the 23rd International Conference on Software Engineering
A framework for multi-valued reasoning over inconsistent viewpoints
ICSE '01 Proceedings of the 23rd International Conference on Software Engineering
Formalizing the safety of Java, the Java virtual machine, and Java card
ACM Computing Surveys (CSUR)
Modular verification of collaboration-based software designs
Proceedings of the 8th European software engineering conference held jointly with 9th ACM SIGSOFT international symposium on Foundations of software engineering
Automatic abstraction for model checking software systems with interrelated numeric constraints
Proceedings of the 8th European software engineering conference held jointly with 9th ACM SIGSOFT international symposium on Foundations of software engineering
Datalog LITE: a deductive query language with linear time model checking
ACM Transactions on Computational Logic (TOCL)
An error simulation based approach to measure error coverage of formal properties
Proceedings of the 12th ACM Great Lakes symposium on VLSI
Improving the Precision of INCA by Eliminating Solutions with Spurious Cycles
IEEE Transactions on Software Engineering
Contextual Petri nets, asymmetric event structures, and processes
Information and Computation
Rigorous Development of an Embedded Fault-Tolerant System Based on Coordinated Atomic Actions
IEEE Transactions on Computers - Special issue on fault-tolerant embedded systems
Efficient and User-Friendly Verification
IEEE Transactions on Computers
Aspect-oriented programming with model checking
AOSD '02 Proceedings of the 1st international conference on Aspect-oriented software development
Bounded Model Checking Using Satisfiability Solving
Formal Methods in System Design
On the Effective Deployment of Functional Formal Verification
Formal Methods in System Design
Model checking cobweb protocols for verification of HTML frames behavior
Proceedings of the 11th international conference on World Wide Web
A hybrid verification approach: getting deep into the design
Proceedings of the 39th annual Design Automation Conference
Model checking algorithms for analog verification
Proceedings of the 39th annual Design Automation Conference
Effective safety property checking using simulation-based sequential ATPG
Proceedings of the 39th annual Design Automation Conference
Model checking railway interlocking systems
ACSC '02 Proceedings of the twenty-fifth Australasian conference on Computer science - Volume 4
Korat: automated testing based on Java predicates
ISSTA '02 Proceedings of the 2002 ACM SIGSOFT international symposium on Software testing and analysis
Specification, verification, and synthesis of concurrency control components
ISSTA '02 Proceedings of the 2002 ACM SIGSOFT international symposium on Software testing and analysis
Linear time datalog and branching time logic
Logic-based artificial intelligence
Ordered binary decision diagrams
Logic Synthesis and Verification
Design of embedded systems: formal models, validation, and synthesis
Readings in hardware/software co-design
Model checking software architecture specifications in SAM
SEKE '02 Proceedings of the 14th international conference on Software engineering and knowledge engineering
Local model checking of Java bytecode
SEKE '02 Proceedings of the 14th international conference on Software engineering and knowledge engineering
SIVA: A System for Coverage-Directed State Space Search
Journal of Electronic Testing: Theory and Applications
Data structures for Boolean functions
Computational Discrete Mathematics
A visual approach to validating system level designs
Proceedings of the 15th international symposium on System Synthesis
PROPEL: an approach supporting property elucidation
Proceedings of the 24th International Conference on Software Engineering
Verification support for workflow design with UML activity graphs
Proceedings of the 24th International Conference on Software Engineering
Software model checking in practice: an industrial case study
Proceedings of the 24th International Conference on Software Engineering
Formal Methods in System Design
Alternating-time temporal logic
Journal of the ACM (JACM)
Mimicry attacks on host-based intrusion detection systems
Proceedings of the 9th ACM conference on Computer and communications security
Secure systems development based on the common criteria: the PalME project
Proceedings of the 10th ACM SIGSOFT symposium on Foundations of software engineering
Clock schedule verification with crosstalk
Proceedings of the 8th ACM/IEEE international workshop on Timing issues in the specification and synthesis of digital systems
Specification and analysis of real-time systems with PARAGON
Annals of Software Engineering
Behaviour Analysis of Distributed Systems Using the Tracta Approach
Automated Software Engineering
Model Checking Complete Requirements Specifications Using Abstraction
Automated Software Engineering
Model Checking Interactor Specifications
Automated Software Engineering
The Automata-Theoretic Approach to Verification of Reactive Systems
Cybernetics and Systems Analysis
Efficient Computation and Representation of Large Reachability Sets for Composed Automata
Discrete Event Dynamic Systems
Toupie: The µ-calculus over Finite Domains as a Constraint Language
Journal of Automated Reasoning
Proving Consistency Assertions for Automotive Product Data Management
Journal of Automated Reasoning
Lightweight Reasoning about Program Correctness
Information Systems Frontiers
On Verifying Distributed Multithreaded Java Programs
Software Quality Control
Generating Model Checkers from Algebraic Specifications
Formal Methods in System Design
Smart simulation using collaborative formal and simulation engines
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
Induction-based gate-level verification of multipliers
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Non-linear quantification scheduling in image computation
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Secure systems development based on the common criteria: the PalME project
ACM SIGSOFT Software Engineering Notes
Another Look at LTL Model Checking
Formal Methods in System Design
Combining Software and Hardware Verification Techniques
Formal Methods in System Design
A Scalable Parallel Algorithm for Reachability Analysis of Very Large Circuits
Formal Methods in System Design
Developing Micropipeline Wavefront Arbiters
IEEE Design & Test
Effectiveness of Microarchitecture Test Program Generation
IEEE Design & Test
Verifying the FM9801 Microarchitecture
IEEE Micro
Specification and Validation of Control-Intensive IC's in hopCP
IEEE Transactions on Software Engineering
Evaluating Deadlock Detection Methods for Concurrent Software
IEEE Transactions on Software Engineering
Automatic Symbolic Verification of Embedded Systems
IEEE Transactions on Software Engineering
Model Checking Large Software Specifications
IEEE Transactions on Software Engineering
Comparing HOL and MDG: a case study on the verification of an ATM switch fabric
Nordic Journal of Computing
Experience with Applying Formal Methods to Protocol Specification and System Architecture
Formal Methods in System Design
Formal Design of Cache Memory Protocols in IBM
Formal Methods in System Design
Verifying the Implementation of an Error Control Code
Formal Methods in System Design
Computing strongly connected components in a linear number of symbolic steps
SODA '03 Proceedings of the fourteenth annual ACM-SIAM symposium on Discrete algorithms
A Formal Object-Oriented Analysis for Software Reliability: Design for Verification
FASE '01 Proceedings of the 4th International Conference on Fundamental Approaches to Software Engineering
An Abductive Approach for Analysing Event-Based Requirements Specifications
ICLP '02 Proceedings of the 18th International Conference on Logic Programming
An Approach to Compositional Model Checking
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Detecting Temporal Logic Predicates on the Happened-Before Model
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Improving Automata Generation for Linear Temporal Logic by Considering the Automaton Hierarchy
LPAR '01 Proceedings of the Artificial Intelligence on Logic for Programming
And/Or Hierarchies and Round Abstraction
MFCS '00 Proceedings of the 25th International Symposium on Mathematical Foundations of Computer Science
State Generation in the PARMC Model Checker
PADL '01 Proceedings of the Third International Symposium on Practical Aspects of Declarative Languages
Constraint Database Models Characterizing Timed Bisimilarity
PADL '01 Proceedings of the Third International Symposium on Practical Aspects of Declarative Languages
Using Max-Plus Algebra for the Evaluation of Stochastic Process Algebra Prefixes
PAPM-PROBMIV '01 Proceedings of the Joint International Workshop on Process Algebra and Probabilistic Methods, Performance Modeling and Verification
Reformulation and Approximation in Model Checking
SARA '02 Proceedings of the 4th International Symposium on Abstraction, Reformulation, and Approximation
Symbolic Heuristic Search Using Decision Diagrams
Proceedings of the 5th International Symposium on Abstraction, Reformulation and Approximation
Model Checking and Abstraction
Proceedings of the 5th International Symposium on Abstraction, Reformulation and Approximation
Proceedings of the 5th and 6th International SPIN Workshops on Theoretical and Practical Aspects of SPIN Model Checking
Assume-Guarantee Model Checking of Software: A Comparative Case Study
Proceedings of the 5th and 6th International SPIN Workshops on Theoretical and Practical Aspects of SPIN Model Checking
Model Checking Knowledge and Time
Proceedings of the 9th International SPIN Workshop on Model Checking of Software
Comparing Symbolic and Explicit Model Checking of a Software System
Proceedings of the 9th International SPIN Workshop on Model Checking of Software
Multiple State and Single State Tableaux for Combining Local and Global Model Checking
Correct System Design, Recent Insight and Advances, (to Hans Langmaack on the occasion of his retirement from his professorship at the University of Kiel)
Verification of Automotive Control Units
Correct System Design, Recent Insight and Advances, (to Hans Langmaack on the occasion of his retirement from his professorship at the University of Kiel)
Demand-Driven Model Checking for Context-Free Processes
ASIAN '99 Proceedings of the 5th Asian Computing Science Conference on Advances in Computing Science
BDD-Nodes Can Be More Expressive
ASIAN '99 Proceedings of the 5th Asian Computing Science Conference on Advances in Computing Science
Faster Model Checking for Open Systems
ASIAN '99 Proceedings of the 5th Asian Computing Science Conference on Advances in Computing Science
A Compositional Sweep-Line State Space Exploration Method
FORTE '02 Proceedings of the 22nd IFIP WG 6.1 International Conference Houston on Formal Techniques for Networked and Distributed Systems
C Wolf - A Toolset for Extracting Models from C Programs
FORTE '02 Proceedings of the 22nd IFIP WG 6.1 International Conference Houston on Formal Techniques for Networked and Distributed Systems
FMCAD '98 Proceedings of the Second International Conference on Formal Methods in Computer-Aided Design
Symbolic Model Checking Visualization
FMCAD '98 Proceedings of the Second International Conference on Formal Methods in Computer-Aided Design
Border-Block Triangular Form and Conjunction Schedule in Image Computation
FMCAD '00 Proceedings of the Third International Conference on Formal Methods in Computer-Aided Design
The Semantics of Verilog Using Transition System Combinators
FMCAD '00 Proceedings of the Third International Conference on Formal Methods in Computer-Aided Design
A Comparative Study of Symbolic Algorithms for the Computation of Fair Cycles
FMCAD '00 Proceedings of the Third International Conference on Formal Methods in Computer-Aided Design
Scalable Distributed On-the-Fly Symbolic Model Checking
FMCAD '00 Proceedings of the Third International Conference on Formal Methods in Computer-Aided Design
An Algorithm for Strongly Connected Component Analysis in n log n Symbolic Steps
FMCAD '00 Proceedings of the Third International Conference on Formal Methods in Computer-Aided Design
Sharp Disjunctive Decomposition for Language Emptiness Checking
FMCAD '02 Proceedings of the 4th International Conference on Formal Methods in Computer-Aided Design
Simplifying Circuits for Formal Verification Using Parametric Representation
FMCAD '02 Proceedings of the 4th International Conference on Formal Methods in Computer-Aided Design
Deciding Presburger Arithmetic by Model Checking and Comparisons with Other Methods
FMCAD '02 Proceedings of the 4th International Conference on Formal Methods in Computer-Aided Design
Generalized Symbolic Trajectory Evaluation - Abstraction in Action
FMCAD '02 Proceedings of the 4th International Conference on Formal Methods in Computer-Aided Design
Analysis of Symbolic SCC Hull Algorithms
FMCAD '02 Proceedings of the 4th International Conference on Formal Methods in Computer-Aided Design
A New Unfolding Approach to LTL Model Checking
ICALP '00 Proceedings of the 27th International Colloquium on Automata, Languages and Programming
Formal Modelling of Java GUI Event Handling
ICFEM '02 Proceedings of the 4th International Conference on Formal Engineering Methods: Formal Methods and Software Engineering
A Formal Methodology to Specify E-commerce Systems
ICFEM '02 Proceedings of the 4th International Conference on Formal Engineering Methods: Formal Methods and Software Engineering
Using Symbolic Model Checking to Detect Service Interactions in Telecommunication Services
ICOIN '02 Revised Papers from the International Conference on Information Networking, Wireless Communications Technologies and Network Applications-Part I
On the Emptiness Problem for Two-Way NFA with One Reversal-Bounded Counter
ISAAC '02 Proceedings of the 13th International Symposium on Algorithms and Computation
World-Modeling vs. World-Axiomatizing
LPNMR '99 Proceedings of the 5th International Conference on Logic Programming and Nonmonotonic Reasoning
Symbolic Model Checking without BDDs
TACAS '99 Proceedings of the 5th International Conference on Tools and Algorithms for Construction and Analysis of Systems
Model Checking Support for the ASM High-Level Language
TACAS '00 Proceedings of the 6th International Conference on Tools and Algorithms for Construction and Analysis of Systems: Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS 2000
Efficient Diagnostic Generation for Boolean Equation Systems
TACAS '00 Proceedings of the 6th International Conference on Tools and Algorithms for Construction and Analysis of Systems: Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS 2000
TACAS '00 Proceedings of the 6th International Conference on Tools and Algorithms for Construction and Analysis of Systems: Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS 2000
Model Checking Security Protocols Using a Logic of Belief
TACAS '00 Proceedings of the 6th International Conference on Tools and Algorithms for Construction and Analysis of Systems: Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS 2000
Symbolic Reachability Analysis Based on SAT-Solvers
TACAS '00 Proceedings of the 6th International Conference on Tools and Algorithms for Construction and Analysis of Systems: Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS 2000
TACAS '00 Proceedings of the 6th International Conference on Tools and Algorithms for Construction and Analysis of Systems: Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS 2000
Symbolic Model Checking of Probabilistic Processes Using MTBDDs and the Kronecker Representation
TACAS '00 Proceedings of the 6th International Conference on Tools and Algorithms for Construction and Analysis of Systems: Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS 2000
Verification of Parameterized Systems Using Logic Program Transformations
TACAS '00 Proceedings of the 6th International Conference on Tools and Algorithms for Construction and Analysis of Systems: Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS 2000
Salsa: Combining Constraint Solvers with BDDs for Automatic Invariant Checking
TACAS '00 Proceedings of the 6th International Conference on Tools and Algorithms for Construction and Analysis of Systems: Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS 2000
Searching Powerset Automata by Combining Explicit-State and Symbolic Model Checking
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Model Checking: A Tutorial Overview
MOVEP '00 Proceedings of the 4th Summer School on Modeling and Verification of Parallel Processes
A Library for Composite Symbolic Representations
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Model Checking Syllabi and Student Carreers
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Finding Feasible Counter-examples when Model Checking Abstracted Java Programs
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Parallel Model Checking for the Alternation Free µ-Calculus
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Verification of Vortex Workflows
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Branching vs. Linear Time: Final Showdown
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Fate and Free Will in Error Traces
TACAS '02 Proceedings of the 8th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Probabilistic Symbolic Model Checking with PRISM: A Hybrid Approach
TACAS '02 Proceedings of the 8th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Real-Time Systems Design with PEP
TACAS '02 Proceedings of the 8th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
A Temporal Logic Based Theory of Test Coverage and Generation
TACAS '02 Proceedings of the 8th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
A HOL Conversion for Translating Linear Time Temporal Logic to omega-Automata
TPHOLs '99 Proceedings of the 12th International Conference on Theorem Proving in Higher Order Logics
Reachability Programming in HOL98 Using BDDs
TPHOLs '00 Proceedings of the 13th International Conference on Theorem Proving in Higher Order Logics
Model Checking Modal Transition Systems Using Kripke Structures
VMCAI '02 Revised Papers from the Third International Workshop on Verification, Model Checking, and Abstract Interpretation
Improving the Encoding of LTL Model Checking into SAT
VMCAI '02 Revised Papers from the Third International Workshop on Verification, Model Checking, and Abstract Interpretation
Lifting Temporal Proofs through Abstractions
VMCAI 2003 Proceedings of the 4th International Conference on Verification, Model Checking, and Abstract Interpretation
Combining Theorem Proving and Model Checking through Symbolic Analysis
CONCUR '00 Proceedings of the 11th International Conference on Concurrency Theory
Model Checking with Finite Complete Prefixes Is PSPACE-Complete
CONCUR '00 Proceedings of the 11th International Conference on Concurrency Theory
A Static Analysis Technique for Graph Transformation Systems
CONCUR '01 Proceedings of the 12th International Conference on Concurrency Theory
Divide and Compose: SCC Refinement for Language Emptiness
CONCUR '01 Proceedings of the 12th International Conference on Concurrency Theory
Frameworks for Reasoning about Agent Based Systems
Revised Papers from the International Workshop on Infrastructure for Multi-Agent Systems: Infrastructure for Agents, Multi-Agent Systems, and Scalable Multi-Agent Systems
CHARME '99 Proceedings of the 10th IFIP WG 10.5 Advanced Research Working Conference on Correct Hardware Design and Verification Methods
Model Checking TLA+ Specifications
CHARME '99 Proceedings of the 10th IFIP WG 10.5 Advanced Research Working Conference on Correct Hardware Design and Verification Methods
Hints to accelerate Symbolic Traversal
CHARME '99 Proceedings of the 10th IFIP WG 10.5 Advanced Research Working Conference on Correct Hardware Design and Verification Methods
CHARME '99 Proceedings of the 10th IFIP WG 10.5 Advanced Research Working Conference on Correct Hardware Design and Verification Methods
Abstract BDDs: A Technque for Using Abstraction in Model Checking
CHARME '99 Proceedings of the 10th IFIP WG 10.5 Advanced Research Working Conference on Correct Hardware Design and Verification Methods
Coverability Analysis Using Symbolic Model Checking
CHARME '01 Proceedings of the 11th IFIP WG 10.5 Advanced Research Working Conference on Correct Hardware Design and Verification Methods
Alternating-Time Temporal Logic
COMPOS'97 Revised Lectures from the International Symposium on Compositionality: The Significant Difference
Efficient Debugging in a Formal Verification Environment
CHARME '01 Proceedings of the 11th IFIP WG 10.5 Advanced Research Working Conference on Correct Hardware Design and Verification Methods
Hierarchical Hybrid Modeling of Embedded Systems
EMSOFT '01 Proceedings of the First International Workshop on Embedded Software
Probabilistic Temporal Logics via the Modal Mu-Calculus
FoSSaCS '99 Proceedings of the Second International Conference on Foundations of Software Science and Computation Structure, Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS'99
Model Checking CTL+ and FCTL is Hard
FoSSaCS '01 Proceedings of the 4th International Conference on Foundations of Software Science and Computation Structures
PSI '99 Proceedings of the Third International Andrei Ershov Memorial Conference on Perspectives of System Informatics
PSI '99 Proceedings of the Third International Andrei Ershov Memorial Conference on Perspectives of System Informatics
Heuristics for Efficient Manipulation of Composite Constraints
FroCoS '02 Proceedings of the 4th International Workshop on Frontiers of Combining Systems
PROSPER - An Investigation into Software Architecture for Embedded Proof Engines
FroCoS '02 Proceedings of the 4th International Workshop on Frontiers of Combining Systems
Integrating BDD-Based and SAT-Based Symbolic Model Checking
FroCoS '02 Proceedings of the 4th International Workshop on Frontiers of Combining Systems
Verification of Timed Automata via Satisfiability Checking
FTRTFT '02 Proceedings of the 7th International Symposium on Formal Techniques in Real-Time and Fault-Tolerant Systems: Co-sponsored by IFIP WG 2.2
Proceedings of the 19th Conference on Foundations of Software Technology and Theoretical Computer Science
FST TCS 2000 Proceedings of the 20th Conference on Foundations of Software Technology and Theoretical Computer Science
State Space Reductions for Alternating Büchi Automata
FST TCS '02 Proceedings of the 22nd Conference Kanpur on Foundations of Software Technology and Theoretical Computer Science
Implementation of Propositional Temporal Logics Using BDDs
TABLEAUX '98 Proceedings of the International Conference on Automated Reasoning with Analytic Tableaux and Related Methods
Computing Optimal Operation Schemes for Chemical Plants in Multi-batch Mode
HSCC '00 Proceedings of the Third International Workshop on Hybrid Systems: Computation and Control
omega-Regular Languages Are Testable with a Constant Number of Queries
RANDOM '02 Proceedings of the 6th International Workshop on Randomization and Approximation Techniques
Formal Verification Methods for Industrial Hardware Design
SOFSEM '01 Proceedings of the 28th Conference on Current Trends in Theory and Practice of Informatics Piestany: Theory and Practice of Informatics
State Space Reduction Based on Live Variables Analysis
SAS '99 Proceedings of the 6th International Symposium on Static Analysis
Abstract Animator for Temporal Specifications: Application to TLA
SAS '99 Proceedings of the 6th International Symposium on Static Analysis
A Formal Study of Slicing for Multi-threaded Programs with JVM Concurrency Primitives
SAS '99 Proceedings of the 6th International Symposium on Static Analysis
Communication and Parallelism Introduction and Elimination in Imperative Concurrent Programs
SAS '01 Proceedings of the 8th International Symposium on Static Analysis
Formal Verification of e-Services and Workflows
CAiSE '02/ WES '02 Revised Papers from the International Workshop on Web Services, E-Business, and the Semantic Web
Improving Symbolic Model Checking by Rewriting Temporal Logic Formulae
RTA '02 Proceedings of the 13th International Conference on Rewriting Techniques and Applications
An Approach to Combining B and Alloy
ZB '02 Proceedings of the 2nd International Conference of B and Z Users on Formal Specification and Development in Z and B
TCS '00 Proceedings of the International Conference IFIP on Theoretical Computer Science, Exploring New Frontiers of Theoretical Informatics
Model Checking Generic Container Implementations
Selected Papers from the International Seminar on Generic Programming
Verifying a Time-Triggered Protocol in a Multi-language Environment
SAFECOMP '98 Proceedings of the 17th International Conference on Computer Safety, Reliability and Security
Progress on the State Explosion Problem in Model Checking
Informatics - 10 Years Back. 10 Years Ahead.
Safety Analysis of the Height Control System for the Elbtunnel
SAFECOMP '02 Proceedings of the 21st International Conference on Computer Safety, Reliability and Security
Automated Performance and Dependability Evaluation Using Model Checking
Performance Evaluation of Complex Systems: Techniques and Tools, Performance 2002, Tutorial Lectures
Performance Analysis of Asynchronous Circuits Using Markov Chains
Concurrency and Hardware Design, Advances in Petri Nets
Modeling and Designing Heterogeneous Systems
Concurrency and Hardware Design, Advances in Petri Nets
Constraint Logic Programming for Local and Symbolic Model-Checking
CL '00 Proceedings of the First International Conference on Computational Logic
Two Real Formal Verification Experiences: ATM Switch Chip and Parallel Cache Protocol
FM-Trends 98 Proceedings of the International Workshop on Current Trends in Applied Formal Method: Applied Formal Methods
FM-Trends 98 Proceedings of the International Workshop on Current Trends in Applied Formal Method: Applied Formal Methods
Faithful Translations among Models and Specifications
FME '01 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods for Increasing Software Productivity
Coverage Directed Generation of System-Level Test Cases for the Validation of a DSP System
FME '01 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods for Increasing Software Productivity
Model-Checking over Multi-valued Logics
FME '01 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods for Increasing Software Productivity
Improvements in Coverability Analysis
FME '02 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods - Getting IT Right
FME '02 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods - Getting IT Right
A Generalised Sweep-Line Method for Safety Properties
FME '02 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods - Getting IT Right
An Algorithmic Approach to Design Exploration
FME '02 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods - Getting IT Right
Error Detection with Directed Symbolic Model Checking
FM '99 Proceedings of the Wold Congress on Formal Methods in the Development of Computing Systems-Volume I - Volume I
Symbolic Model Checking with Fewer Fixpoint Computations
FM '99 Proceedings of the Wold Congress on Formal Methods in the Development of Computing Systems-Volume I - Volume I
Approximating the Behaviour of Graph Transformation Systems
ICGT '02 Proceedings of the First International Conference on Graph Transformation
Software Verification Based on Linear Programming
FM '99 Proceedings of the Wold Congress on Formal Methods in the Development of Computing Systems-Volume II
The Mathematical Foundation fo Symbolic Trajectory Evaluation
CAV '99 Proceedings of the 11th International Conference on Computer Aided Verification
Optimizing Symbolic Model Checking for Constraint-Rich Models
CAV '99 Proceedings of the 11th International Conference on Computer Aided Verification
Model Checking the IBM Gigahertz Processor: An Abstraction Algorithm for High-Performance Netlists
CAV '99 Proceedings of the 11th International Conference on Computer Aided Verification
Efficient Decision Procedures for Model Checking of Linear Time Logic Properties
CAV '99 Proceedings of the 11th International Conference on Computer Aided Verification
NUSMV: A New Symbolic Model Verifier
CAV '99 Proceedings of the 11th International Conference on Computer Aided Verification
Model Checking Based on Sequential ATPG
CAV '99 Proceedings of the 11th International Conference on Computer Aided Verification
Benefits of Bounded Model Checking at an Industrial Setting
CAV '01 Proceedings of the 13th International Conference on Computer Aided Verification
Truth/SLC - A Parallel Verification Platform for Concurrent Systems
CAV '01 Proceedings of the 13th International Conference on Computer Aided Verification
Finding Bugs in an Alpha Microprocessor Using Satisfiability Solvers
CAV '01 Proceedings of the 13th International Conference on Computer Aided Verification
Model Checking with Formula-Dependent Abstract Models
CAV '01 Proceedings of the 13th International Conference on Computer Aided Verification
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
Applying SAT Methods in Unbounded Symbolic Model Checking
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
Combining Symmetry Reduction and Under-Approximation for Symbolic Model Checking
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
Exploiting Behavioral Hierarchy for Efficient Model Checking
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
NuSMV 2: An OpenSource Tool for Symbolic Model Checking
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
Symbolic Analysis of Transition Systems
ASM '00 Proceedings of the International Workshop on Abstract State Machines, Theory and Applications
Towards a Methodology for Model Checking ASM: Lessons Learned from the FLASH Case Study
ASM '00 Proceedings of the International Workshop on Abstract State Machines, Theory and Applications
A Short Introduction to Infinite Automata
DLT '01 Revised Papers from the 5th International Conference on Developments in Language Theory
Performance Validation of Fault-Tolerance Software: A Compositional Approach
DSN '01 Proceedings of the 2001 International Conference on Dependable Systems and Networks (formerly: FTCS)
Synchronized Product of Linear Bounded Machines
FCT '99 Proceedings of the 12th International Symposium on Fundamentals of Computation Theory
Model Checking Object-Z Using ASM
IFM '02 Proceedings of the Third International Conference on Integrated Formal Methods
A Model Checking Algorithm for Multiagent Systems
ATAL '98 Proceedings of the 5th International Workshop on Intelligent Agents V, Agent Theories, Architectures, and Languages
Agents and Roles: Refinement in Alternating-Time Temporal Logic
ATAL '01 Revised Papers from the 8th International Workshop on Intelligent Agents VIII
Introducing Mutual Exclusion in Esterel
PSI '99 Proceedings of the Third International Andrei Ershov Memorial Conference on Perspectives of System Informatics
The Engineering of a Model Checker: The Gnu i-Protocol Case Study Revisited
Proceedings of the 5th and 6th International SPIN Workshops on Theoretical and Practical Aspects of SPIN Model Checking
System Specification and Verification Using High Level Concepts - A Tool Demonstration
Proceedings of the 9th International SPIN Workshop on Model Checking of Software
Panel Discussion: Future Directions
FAABS '00 Proceedings of the First International Workshop on Formal Approaches to Agent-Based Systems-Revised Papers
A Framework for Translating Models and Specifications
IFM '02 Proceedings of the Third International Conference on Integrated Formal Methods
Mixing ATPG and property checking for testing HW/SW interfaces
Proceedings of the 13th ACM Great Lakes symposium on VLSI
Model checking: a tutorial overview
Modeling and verification of parallel processes
Automated verification using deduction, exploration, and abstraction
Programming methodology
Engineering of distributed control systems
A proposal for introducing model checking into an undergraduate software engineering curriculum
Journal of Computing Sciences in Colleges
State space reduction based on live variables analysis
Science of Computer Programming - Special issue on static analysis (SAS'99)
Integrating formal verification into computer organization and architecture courses
Journal of Computing Sciences in Colleges
Parametric real-time model checking using splitting trees
Nordic Journal of Computing
SAT and ATPG: Boolean engines for formal hardware verification
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
Symbolic model checking of Dual Transition Petri Nets
Proceedings of the tenth international symposium on Hardware/software codesign
SPPV: a new formal verification environment
Journal of Computing Sciences in Colleges
Learning from BDDs in SAT-based bounded model checking
Proceedings of the 40th annual Design Automation Conference
Data flow testing as model checking
Proceedings of the 25th International Conference on Software Engineering
SetA*: an efficient BDD-based heuristic search algorithm
Eighteenth national conference on Artificial intelligence
A retrospective on constraint databases
PCK50 Proceedings of the Paris C. Kanellakis memorial workshop on Principles of computing & knowledge: Paris C. Kanellakis memorial workshop on the occasion of his 50th birthday
Handbook of automated reasoning
Bisimulation analysis of SDL-expressed protocols: a case study
CASCON '00 Proceedings of the 2000 conference of the Centre for Advanced Studies on Collaborative research
Lightweight reasoning about program correctness
CASCON '01 Proceedings of the 2001 conference of the Centre for Advanced Studies on Collaborative research
SC(R)3: towards usability of formal methods
CASCON '98 Proceedings of the 1998 conference of the Centre for Advanced Studies on Collaborative research
The Quest for Correct Systems: Model Checking of Diagrams and Datatypes
APSEC '99 Proceedings of the Sixth Asia Pacific Software Engineering Conference
An Efficient Algorithm for Real-Time Symbolic Model Checking
EDTC '96 Proceedings of the 1996 European conference on Design and Test
System-Level Test Bench Generation in a Co-Design Framework
ETW '00 Proceedings of the IEEE European Test Workshop
LICS '96 Proceedings of the 11th Annual IEEE Symposium on Logic in Computer Science
Symbolic Protocol Verification with Queue BDDs
LICS '96 Proceedings of the 11th Annual IEEE Symposium on Logic in Computer Science
An Abstraction Algorithm for the Verification of Level-Sensitive Latch-Based Netlists
Formal Methods in System Design
Formal Verification of Digital Systems
VLSID '97 Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
Industrial Strength Formal Verification Techniques for Hardware Designs
VLSID '97 Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
Formal Verification Using Bounded Model Checking: SAT versus Sequential ATPG Engines
VLSID '03 Proceedings of the 16th International Conference on VLSI Design
Implementing Statecharts in PROMELA/SPIN
WIFT '98 Proceedings of the Second IEEE Workshop on Industrial Strength Formal Specification Techniques
Feature specification and automated conflict detection
ACM Transactions on Software Engineering and Methodology (TOSEM)
Static Property Checking Using ATPG v.s. BDD Techniques
ITC '00 Proceedings of the 2000 IEEE International Test Conference
CMC: a pragmatic approach to model checking real code
ACM SIGOPS Operating Systems Review - OSDI '02: Proceedings of the 5th symposium on Operating systems design and implementation
Concrete Impact of Formal Verification on Quality in IP Design and Implementation
ISQED '01 Proceedings of the 2nd International Symposium on Quality Electronic Design
Systematic Formal Verification of Interpreters
ICFEM '97 Proceedings of the 1st International Conference on Formal Engineering Methods
Requirements interaction management
ACM Computing Surveys (CSUR)
Algorithms and heuristics in VLSI design
Experimental algorithmics
Compiling Verilog into timed finite state machines
IVC '95 Proceedings of the 4th IEEE International Verilog HDL Conference
Formal Methods of Analysis of System Properties
Cybernetics and Systems Analysis
TestEra: A Novel Framework for Automated Testing of Java Programs
Proceedings of the 16th IEEE international conference on Automated software engineering
Automated Validation of Software Models
Proceedings of the 16th IEEE international conference on Automated software engineering
Weak, strong, and strong cyclic planning via symbolic model checking
Artificial Intelligence - special issue on planning with uncertainty and incomplete information
Reactive and Real-Time Systems Course: How to Get the Most Out of it
Real-Time Systems
Counterexample-guided abstraction refinement for symbolic model checking
Journal of the ACM (JACM)
SystemC
Fault Models and Test Generation for Hardware-Software Covalidation
IEEE Design & Test
On Inspection and Verification of Software with Timing Requirements
IEEE Transactions on Software Engineering
A strategy for efficiently verifying requirements
Proceedings of the 9th European software engineering conference held jointly with 11th ACM SIGSOFT international symposium on Foundations of software engineering
A formal approach to the usability engineering
Proceedings of the Latin American conference on Human-computer interaction
A satisfiability procedure for quantified boolean formulae
Discrete Applied Mathematics - The renesse issue on satisfiability
From Pre-Historic to Post-Modern Symbolic Model Checking
Formal Methods in System Design
A tutorial introduction to symbolic model checking
Logic for concurrency and synchronisation
From Bisimulation to Simulation: Coarsest Partition Problems
Journal of Automated Reasoning
SAT-Solving the Coverability Problem for Petri Nets
Formal Methods in System Design
Formal approaches to systems analysis using UML: an overview
Advanced topics in database research vol. 1
The temporal logic of coalgebras via Galois algebras
Mathematical Structures in Computer Science
Building reliable, high-performance networks with the Nuprl proof development system
Journal of Functional Programming
Modular refinement of hierarchic reactive machines
ACM Transactions on Programming Languages and Systems (TOPLAS)
An efficient algorithm for computing bisimulation equivalence
Theoretical Computer Science
Formally analyzing software architectural specifications using SAM
Journal of Systems and Software
Automatic software model checking via constraint logic
Science of Computer Programming - Special issue on 12th European symposium on programming (ESOP 2003)
Multi-valued symbolic model-checking
ACM Transactions on Software Engineering and Methodology (TOSEM)
Abstraction refinement by controllability and cooperativeness analysis
Proceedings of the 41st annual Design Automation Conference
A general decomposition strategy for verifying register renaming
Proceedings of the 41st annual Design Automation Conference
AMUSE: a minimally-unsatisfiable subformula extractor
Proceedings of the 41st annual Design Automation Conference
Quantum logic synthesis by symbolic reachability analysis
Proceedings of the 41st annual Design Automation Conference
Design and Analysis of a Self-Timed Duplex Communication System
IEEE Transactions on Computers
Assume-Guarantee Verification of Source Code with Design-Level Assumptions
Proceedings of the 26th International Conference on Software Engineering
Heuristic-Based Model Refinement for FLAVERS
Proceedings of the 26th International Conference on Software Engineering
Automating commutativity analysis at the design level
ISSTA '04 Proceedings of the 2004 ACM SIGSOFT international symposium on Software testing and analysis
Faster constraint solving with subtypes
ISSTA '04 Proceedings of the 2004 ACM SIGSOFT international symposium on Software testing and analysis
Static Analysis for State-Space Reductions Preserving Temporal Logics
Formal Methods in System Design
Iterative Abstraction using SAT-based BMC with Proof Analysis
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Brief Announcement: constraint-based synchronization and verification of concurrent programs
Proceedings of the twenty-third annual ACM symposium on Principles of distributed computing
On compliance test of on-chip bus for SOC
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Model checking on state transition diagram
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Exploiting state encoding for invariant generation in induction-based property checking
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Improving simulation-based verification by means of formal methods
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
TestEra: Specification-Based Testing of Java Programs Using SAT
Automated Software Engineering
Lessons Learned from Model Checking a NASA Robot Controller
Formal Methods in System Design
Timing Verification with Crosstalk for Transparently Latched Circuits
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Analyzing Interaction Orderings with Model Checking
Proceedings of the 19th IEEE international conference on Automated software engineering
Mapping Template Semantics to SMV
Proceedings of the 19th IEEE international conference on Automated software engineering
Combination Model Checking: Approach and a Case Study
Proceedings of the 19th IEEE international conference on Automated software engineering
Decompositional Verification of Component-based Systems-A Hybrid Approach
Proceedings of the 19th IEEE international conference on Automated software engineering
Simulation and verification I: from simulation to verification (and back)
Proceedings of the 35th conference on Winter simulation: driving innovation
A semantically-derived subset of English for hardware verification
ACL '99 Proceedings of the 37th annual meeting of the Association for Computational Linguistics on Computational Linguistics
Sound development of secure service-based systems
Proceedings of the 2nd international conference on Service oriented computing
Success-Driven Learning in ATPG for Preimage Computation
IEEE Design & Test
Conformant planning via symbolic model checking and heuristic search
Artificial Intelligence
Flow analysis for verifying properties of concurrent software systems
ACM Transactions on Software Engineering and Methodology (TOSEM)
Domain and event structure semantics for Petri nets with read and inhibitor arcs
Theoretical Computer Science
A formal software requirements specification method for digital nuclear plant protection systems
Journal of Systems and Software - Special issue: Automated component-based software engineering
Verification of Embedded Memory Systems using Efficient Memory Modeling
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
An Integrated Design and Verification Methodology for Reconfigurable Multimedia Systems
Proceedings of the conference on Design, Automation and Test in Europe - Volume 3
On the Design and Verification Methodology of the Look-Aside Interface
Proceedings of the conference on Design, Automation and Test in Europe - Volume 3
Functional Verification of Networked Embedded Systems
ISQED '05 Proceedings of the 6th International Symposium on Quality of Electronic Design
Linear reachability problems and minimal solutions to linear Diophantine equation systems
Theoretical Computer Science - Implementation and application of automata
Compatibility Between Shared Variable Valuations in Timed Automaton Network Model-Checking
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 2 - Volume 03
From linear time to branching time
ACM Transactions on Computational Logic (TOCL)
Reasoning about evolving nonmonotonic knowledge bases
ACM Transactions on Computational Logic (TOCL)
CMC: a pragmatic approach to model checking real code
OSDI '02 Proceedings of the 5th symposium on Operating systems design and implementationCopyright restrictions prevent ACM from being able to make the PDFs for this conference available for downloading
ω-Regular languages are testable with a constant number of queries
Theoretical Computer Science
Generating error traces from verification-condition counterexamples
Science of Computer Programming - Formal methods for components and objects pragmatic aspects and applications
Simulation based deadlock analysis for system level designs
Proceedings of the 42nd annual Design Automation Conference
Proceedings of the 42nd annual Design Automation Conference
Beyond safety: customized SAT-based model checking
Proceedings of the 42nd annual Design Automation Conference
PLTL-partitioned model checking for reactive systems under fairness assumptions
ACM Transactions on Embedded Computing Systems (TECS)
Application of Wu's method to symbolic model checking
Proceedings of the 2005 international symposium on Symbolic and algebraic computation
Encyclopedia of Computer Science
Encyclopedia of Computer Science
Software Model Checking: The VeriSoft Approach
Formal Methods in System Design
Verifying Time Partitioning in the DEOS Scheduling Kernel
Formal Methods in System Design
Model Checking C Programs Using F-SOFT
ICCD '05 Proceedings of the 2005 International Conference on Computer Design
Reasoning about static and dynamic properties in alloy: A purely relational approach
ACM Transactions on Software Engineering and Methodology (TOSEM)
UML formalization is a traceability problem
TEFSE '05 Proceedings of the 3rd international workshop on Traceability in emerging forms of software engineering
From Live Sequence Charts to State Machines and Back: A Guided Tour
IEEE Transactions on Software Engineering
XFM: An incremental methodology for developing formal models
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Formalising Control in Robust Spoken Dialogue Systems
SEFM '05 Proceedings of the Third IEEE International Conference on Software Engineering and Formal Methods
A fixpoint calculus for local and global program flows
Conference record of the 33rd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Dynamic transition relation simplification for bounded property checking
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Incremental deductive & inductive reasoning for SAT-based bounded model checking
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Efficient SAT-based unbounded symbolic model checking using circuit cofactoring
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Efficient computation of small abstraction refinements
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
ACM Transactions on Embedded Computing Systems (TECS)
HLDVT '03 Proceedings of the Eighth IEEE International Workshop on High-Level Design Validation and Test Workshop
BDD-based verification of scalable designs
HLDVT '03 Proceedings of the Eighth IEEE International Workshop on High-Level Design Validation and Test Workshop
An Algorithm for Strongly Connected Component Analysis in n log n Symbolic Steps
Formal Methods in System Design
Compositional SCC Analysis for Language Emptiness
Formal Methods in System Design
Word level functional coverage computation
ASP-DAC '06 Proceedings of the 2006 Asia and South Pacific Design Automation Conference
Algorithms for compacting error traces
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
A specification language for coordinated objects
SAVCBS '05 Proceedings of the 2005 conference on Specification and verification of component-based systems
Using heuristic search for finding deadlocks in concurrent systems
Information and Computation
Symbolic model checking of UML activity diagrams
ACM Transactions on Software Engineering and Methodology (TOSEM)
Kauffman networks: analysis and applications
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Generating finite state machines from SystemC
Proceedings of the conference on Design, automation and test in Europe: Designers' forum
On the evaluation of transactor-based verification for reusing TLM assertions and testbenches at RTL
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Disjunctive image computation for embedded software verification
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Dual Flow Nets: Modeling the control/data-flow relation in embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
Automatic verification of timed concurrent constraint programs
Theory and Practice of Logic Programming
Symmetry in temporal logic model checking
ACM Computing Surveys (CSUR)
Managing space for finite-state verification
Proceedings of the 28th international conference on Software engineering
Symbolic model checking of declarative relational models
Proceedings of the 28th international conference on Software engineering
Automated Online Monitoring of Distributed Applications through External Monitors
IEEE Transactions on Dependable and Secure Computing
Verification of large scale nano systems with unreliable nano devices
Nano, quantum and molecular computing
On the universal and existential fragments of the μ-calculus
Theoretical Computer Science - Tools and algorithms for the construction and analysis of systems (TACAS 2003)
Strong planning under partial observability
Artificial Intelligence
Modere: the model-checking engine of Rebeca
Proceedings of the 2006 ACM symposium on Applied computing
Finite-state verification for high performance computing
Proceedings of the second international workshop on Software engineering for high performance computing system applications
Modeling and verification of adaptive navigation in web applications
ICWE '06 Proceedings of the 6th international conference on Web engineering
Verification of embedded systems based on interval analysis
International Journal of Parallel Programming
Modular verification of code with SAT
Proceedings of the 2006 international symposium on Software testing and analysis
Distributed dynamic BDD reordering
Proceedings of the 43rd annual Design Automation Conference
Fast illegal state identification for improving SAT-based induction
Proceedings of the 43rd annual Design Automation Conference
Functional formal verification on designs of pSeries microprocessors and communication subsystems
IBM Journal of Research and Development - POWER5 and packaging
Probabilistic temporal logics via the modal mu-calculus
Theoretical Computer Science
Developing safety-critical systems: the role of formal methods and tools
SCS '05 Proceedings of the 10th Australian workshop on Safety critical systems and software - Volume 55
Tool support for checking railway interlocking designs
SCS '05 Proceedings of the 10th Australian workshop on Safety critical systems and software - Volume 55
A work-efficient distributed algorithm for reachability analysis
Formal Methods in System Design
On the complexity of practical ATL model checking
AAMAS '06 Proceedings of the fifth international joint conference on Autonomous agents and multiagent systems
Many cyclic block diagrams do not need parallel semantics
ACM SIGPLAN Notices
Languages and tools for hybrid systems design
Foundations and Trends in Electronic Design Automation
Efficient software model checking of data structure properties
Proceedings of the 21st annual ACM SIGPLAN conference on Object-oriented programming systems, languages, and applications
Feature interaction detection by pairwise analysis of LTL properties: a case study
Formal Methods in System Design
Automatic generation of assumptions for modular verification of software specifications
Journal of Systems and Software - Special issue: Selected papers from the 4th source code analysis and manipulation (SCAM 2004) workshop
IEEE Transactions on Computers
Software partitioning for effective automated unit testing
EMSOFT '06 Proceedings of the 6th ACM & IEEE International conference on Embedded software
Data structures for symbolic multi-valued model-checking
Formal Methods in System Design
User guidance for creating precise and accessible property specifications
Proceedings of the 14th ACM SIGSOFT international symposium on Foundations of software engineering
Combining symmetry reduction and under-approximation for symbolic model checking
Formal Methods in System Design
Templates and automation for temporal query generation
Proceedings of the 44th annual Southeast regional conference
An Adaptive Multi-agent Organization Model Based on Dynamic Role Allocation
IAT '06 Proceedings of the IEEE/WIC/ACM international conference on Intelligent Agent Technology
A note on K-state self-stabilization in a ring with K = N
Nordic Journal of Computing
On-Chip Communication Architectures: System on Chip Interconnect
On-Chip Communication Architectures: System on Chip Interconnect
A survey of intelligent debugging
AI Communications
DELFIN+: An efficient deadlock detection tool for CCS processes
Journal of Computer and System Sciences
Program entanglement, feature interaction and the feature language extensions
Computer Networks: The International Journal of Computer and Telecommunications Networking
Modelling and model checking suspendible business processes via statechart diagrams and CSP
Science of Computer Programming
AND/OR search spaces for graphical models
Artificial Intelligence
ACTLS properties and Bounded Model Checking
Fundamenta Informaticae
From Bounded to Unbounded Model Checking for Temporal Epistemic Logic
Fundamenta Informaticae - Multiagent Systems (FAMAS'03)
Disjunctive image computation for software verification
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Expressing and Verifying Temporal and Structural Properties of Mobile Agents
Fundamenta Informaticae - SPECIAL ISSUE ON CONCURRENCY SPECIFICATION AND PROGRAMMING (CS&P 2005) Ruciane-Nide, Poland, 28-30 September 2005
Decomposing image computation for symbolic reachability analysis using control flow information
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Modeling and verification of real-time systems based on equations
Science of Computer Programming
Weighted automata and weighted logics
Theoretical Computer Science
ICSE '07 Proceedings of the 29th international conference on Software Engineering
Formal Verification of Simulation Traces Using Computation Slicing
IEEE Transactions on Computers
Properties Incompleteness Evaluation by Functional Verification
IEEE Transactions on Computers
Inferring specifications to detect errors in code
Automated Software Engineering
Proceedings of the conference on Design, automation and test in Europe
Verification-guided soft error resilience
Proceedings of the conference on Design, automation and test in Europe
Discrete Applied Mathematics
Symbolic Model Checking for Channel-based Component Connectors
Electronic Notes in Theoretical Computer Science (ENTCS)
Mechanized metatheory model-checking
Proceedings of the 9th ACM SIGPLAN international conference on Principles and practice of declarative programming
Formal Verification of Concurrent Systems via Directed Model Checking
Electronic Notes in Theoretical Computer Science (ENTCS)
Formal Sequentialization of Distributed Systems via Program Rewriting
Electronic Notes in Theoretical Computer Science (ENTCS)
Probabilistic abstraction for model checking: An approach based on property testing
ACM Transactions on Computational Logic (TOCL)
System on Chips optimization using ABV and automatic generation of SystemC codes
Microprocessors & Microsystems
Finding bugs efficiently with a SAT solver
Proceedings of the the 6th joint meeting of the European software engineering conference and the ACM SIGSOFT symposium on The foundations of software engineering
Proceedings of the the 6th joint meeting of the European software engineering conference and the ACM SIGSOFT symposium on The foundations of software engineering
Groebner bases computation in Boolean rings for symbolic model checking
MOAS'07 Proceedings of the 18th conference on Proceedings of the 18th IASTED International Conference: modelling and simulation
Path queries on compressed XML
VLDB '03 Proceedings of the 29th international conference on Very large data bases - Volume 29
Too Few or Too Many Properties? Measure it by ATPG!
Journal of Electronic Testing: Theory and Applications
Automated Rule-Based Diagnosis through a Distributed Monitor System
IEEE Transactions on Dependable and Secure Computing
Model checking for input/output properties of a black-box model
ACST'07 Proceedings of the third conference on IASTED International Conference: Advances in Computer Science and Technology
Symbolic model checking for temporal-epistemic logics
ACM SIGACT News
Computation of minimal counterexamples by using black box techniques and symbolic methods
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
State-set branching: Leveraging BDDs for heuristic search
Artificial Intelligence
Proceedings of the 6th international joint conference on Autonomous agents and multiagent systems
Towards Deriving Test Sequences by Model Checking
Electronic Notes in Theoretical Computer Science (ENTCS)
Model checking for the practical verificationist: a user's perspective on SAL
Proceedings of the second workshop on Automated formal methods
An environment for flexible advanced compensations of Web service transactions
ACM Transactions on the Web (TWEB)
A check-points extraction method for formal verification
ISTASC'07 Proceedings of the 7th Conference on 7th WSEAS International Conference on Systems Theory and Scientific Computation - Volume 7
An efficient specification for model checking using check-points extraction method
ACS'07 Proceedings of the 7th Conference on 7th WSEAS International Conference on Applied Computer Science - Volume 7
Breaking up is hard to do: An evaluation of automated assume-guarantee reasoning
ACM Transactions on Software Engineering and Methodology (TOSEM)
Formal methods for the analysis and synthesis of nanometer-scale cellular arrays
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Formal verification of ASMs using MDGs
Journal of Systems Architecture: the EUROMICRO Journal
Model Checking Abstract State Machines with Answer Set Programming
Fundamenta Informaticae - This is a SPECIAL ISSUE ON ASM'05
Bounded Model Checking for the Existential Fragment of TCTL_{-G} and Diagonal Timed Automata
Fundamenta Informaticae
Path Compression in Timed Automata
Fundamenta Informaticae - Special Issue on Concurrency Specification and Programming (CS&P)
Verifying Security Protocols Modelled by Networks of Automata
Fundamenta Informaticae - Special Issue on Concurrency Specification and Programming (CS&P)
A compositional symbolic verification framework for concurrent software
Proceedings of the 2nd international conference on Scalable information systems
Reuse and optimization of testbenches and properties in a TLM-to-RTL design flow
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Role-based trust management security policy analysis and correction environment (RT-SPACE)
Companion of the 30th international conference on Software engineering
Modelling feature interactions in the automotive domain
Proceedings of the 2008 international workshop on Models in software engineering
Automated assumption generation for compositional verification
Formal Methods in System Design
A framework for the verification of infinite-state graph transformation systems
Information and Computation
Checking Equivalence for Reo Networks
Electronic Notes in Theoretical Computer Science (ENTCS)
Tunneling and slicing: towards scalable BMC
Proceedings of the 45th annual Design Automation Conference
Completeness in SMT-based BMC for software programs
Proceedings of the conference on Design, automation and test in Europe
Model checking with Boolean Satisfiability
Journal of Algorithms
An automatic abstraction technique for verifying featured, parameterised systems
Theoretical Computer Science
Embedding finite automata within regular expressions
Theoretical Computer Science
Efficient SAT-based bounded model checking for software verification
Theoretical Computer Science
Model Checking: Back and Forth between Hardware and Software
Verified Software: Theories, Tools, Experiments
Redesign of Organizations as a Basis for Organizational Change
Coordination, Organizations, Institutions, and Norms in Agent Systems II
A Symbolic Model Checking Framework for Safety Analysis, Diagnosis, and Synthesis
Model Checking and Artificial Intelligence
SAT-Based Verification of Security Protocols Via Translation to Networks of Automata
Model Checking and Artificial Intelligence
Bounded Model Checking with Description Logic Reasoning
TABLEAUX '07 Proceedings of the 16th international conference on Automated Reasoning with Analytic Tableaux and Related Methods
Encodings of Bounded LTL Model Checking in Effectively Propositional Logic
CADE-21 Proceedings of the 21st international conference on Automated Deduction: Automated Deduction
Model Checking and Preprocessing
AI*IA '07 Proceedings of the 10th Congress of the Italian Association for Artificial Intelligence on AI*IA 2007: Artificial Intelligence and Human-Oriented Computing
Syntactic Validation of Web Services Security Policies
ICSOC '07 Proceedings of the 5th international conference on Service-Oriented Computing
25 Years of Model Checking
Verification Technology Transfer
25 Years of Model Checking
Model Checking: From Tools to Theory
25 Years of Model Checking
A View from the Engine Room: Computational Support for Symbolic Model Checking
25 Years of Model Checking
25 Years of Model Checking
Unfolding Graph Transformation Systems: Theory and Applications to Verification
Concurrency, Graphs and Models
AND/OR Multi-valued Decision Diagrams for Constraint Networks
Concurrency, Graphs and Models
Plug-and-Play Architectural Design and Verification
Architecting Dependable Systems V
Tutorial on Model Checking: Modelling and Verification in Computer Science
AB '08 Proceedings of the 3rd international conference on Algebraic Biology
Efficient Modeling of Concurrent Systems in BMC
SPIN '08 Proceedings of the 15th international workshop on Model Checking Software
Towards Efficient Verification of Systems with Dynamic Process Creation
Proceedings of the 5th international colloquium on Theoretical Aspects of Computing
Data Flow Analysis and Testing of Abstract State Machines
ABZ '08 Proceedings of the 1st international conference on Abstract State Machines, B and Z
Semantically Configurable Code Generation
MoDELS '08 Proceedings of the 11th international conference on Model Driven Engineering Languages and Systems
Efficient software model checking of soundness of type systems
Proceedings of the 23rd ACM SIGPLAN conference on Object-oriented programming systems languages and applications
Extending open core protocol to support system-level cache coherence
CODES+ISSS '08 Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis
Modular verification of web services using efficient symbolic encoding and summarization
Proceedings of the 16th ACM SIGSOFT International Symposium on Foundations of software engineering
Don't care words with an application to the automata-based approach for real addition
Formal Methods in System Design
Model checking sequential software programs via mixed symbolic analysis
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Planning as model checking: the performance of ProB vs NuSMV
Proceedings of the 2008 annual research conference of the South African Institute of Computer Scientists and Information Technologists on IT research in developing countries: riding the wave of technology
Using formal specifications to support testing
ACM Computing Surveys (CSUR)
Evaluation of OrViA Framework for Model-Driven SOA Implementations: An Industrial Case Study
BPM '08 Proceedings of the 6th International Conference on Business Process Management
ATVA '08 Proceedings of the 6th International Symposium on Automated Technology for Verification and Analysis
Original papers: Model-checking for adventure videogames
Information and Software Technology
McMillan's Complete Prefix for Contextual Nets
Transactions on Petri Nets and Other Models of Concurrency I
Automatic Symmetry Detection for Promela
Journal of Automated Reasoning
Factoring User Experience into the Design of Ambient and Mobile Systems
Engineering Interactive Systems
From Philosophical to Industrial Logics
ICLA '09 Proceedings of the 3rd Indian Conference on Logic and Its Applications
Dynamic Path Reduction for Software Model Checking
IFM '09 Proceedings of the 7th International Conference on Integrated Formal Methods
Fuzzy Description Logic Reasoning Using a Fixpoint Algorithm
LFCS '09 Proceedings of the 2009 International Symposium on Logical Foundations of Computer Science
Dependent latch identification in the reachable state space
Proceedings of the 2009 Asia and South Pacific Design Automation Conference
Automatic formal verification of clock domain crossing signals
Proceedings of the 2009 Asia and South Pacific Design Automation Conference
BTOR: bit-precise modelling of word-level problems for model checking
SMT '08/BPR '08 Proceedings of the Joint Workshops of the 6th International Workshop on Satisfiability Modulo Theories and 1st International Workshop on Bit-Precise Reasoning
SAT-based Unbounded Model Checking of Timed Automata
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Towards Verification of Java Programs in perICS
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Program transformations using temporal logic side conditions
ACM Transactions on Programming Languages and Systems (TOPLAS)
Comparison of Maude and SAL by Conducting Case Studies Model Checking a Distributed Algorithm
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Scaling Search with Pattern Databases
Model Checking and Artificial Intelligence
Quantified epistemic logics for reasoning about knowledge in multi-agent systems
Artificial Intelligence
Controller Synthesis from LSC Requirements
FASE '09 Proceedings of the 12th International Conference on Fundamental Approaches to Software Engineering: Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009
Toward practical analysis for trust management policy
Proceedings of the 4th International Symposium on Information, Computer, and Communications Security
Partitioned PLTL model-checking for refined transition systems
Information and Computation
Symbolic model checking for channel-based component connectors
Science of Computer Programming
Journal of Computer Science and Technology
Direct model checking matrix algorithm
Journal of Computer Science and Technology
Verification Techniques for System-Level Design
Verification Techniques for System-Level Design
Formal verification of AADL behavior models: a feasibility investigation
Proceedings of the 47th Annual Southeast Regional Conference
Verifying Interlevel Relations within Multi-Agent Systems
Proceedings of the 2006 conference on ECAI 2006: 17th European Conference on Artificial Intelligence August 29 -- September 1, 2006, Riva del Garda, Italy
A New Approach to Planning in Networks
Proceedings of the 2008 conference on ECAI 2008: 18th European Conference on Artificial Intelligence
HS-ROBDD: an efficient variable order binary decision diagram
Proceedings of the 11th Annual Conference Companion on Genetic and Evolutionary Computation Conference: Late Breaking Papers
Specifying the worst case: orthogonal modeling of hardware errors
Proceedings of the eighteenth international symposium on Software testing and analysis
A Translator of Java Programs to TADDs
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Automated deduction for verification
ACM Computing Surveys (CSUR)
ACM Computing Surveys (CSUR)
Model checking: algorithmic verification and debugging
Communications of the ACM - Scratch Programming for All
Evolution of a course on model checking for practical applications
ACM SIGCSE Bulletin
Model checking education for software engineers in Japan
ACM SIGCSE Bulletin
Model checking temporal logics of knowledge in distributed systems
AAAI'04 Proceedings of the 19th national conference on Artifical intelligence
An abstract reachability approach by combining HOL induction and multiway decision graphs
Journal of Computer Science and Technology
Easy Yet Hard: Model Checking Strategies of Agents
Computational Logic in Multi-Agent Systems
Static Analysis of Concurrent Programs Using Ordinary Differential Equations
ICTAC '09 Proceedings of the 6th International Colloquium on Theoretical Aspects of Computing
The PlusCal Algorithm Language
ICTAC '09 Proceedings of the 6th International Colloquium on Theoretical Aspects of Computing
Formal Analysis of the Genetic Toggle
CMSB '09 Proceedings of the 7th International Conference on Computational Methods in Systems Biology
Weighted automata and weighted logics with discounting
Theoretical Computer Science
OBDD-based universal planning for synchronized agents in non-deterministic domains
Journal of Artificial Intelligence Research
Conformant planning via symbolic model checking
Journal of Artificial Intelligence Research
Learning to order BDD variables in verification
Journal of Artificial Intelligence Research
Taming numbers and durations in the model checking integrated planning system
Journal of Artificial Intelligence Research
Journal of Artificial Intelligence Research
First order decision diagrams for relational MDPs
Journal of Artificial Intelligence Research
AND/OR multi-valued decision diagrams (AOMDDs) for graphical models
Journal of Artificial Intelligence Research
Formal verification of diagnosability via symbolic model checking
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
Heuristic search + symbolic model checking = efficient conformant planning
IJCAI'01 Proceedings of the 17th international joint conference on Artificial intelligence - Volume 1
Planning in nondeterministic domains under partial observability via symbolic model checking
IJCAI'01 Proceedings of the 17th international joint conference on Artificial intelligence - Volume 1
ASE '08 Proceedings of the 2008 23rd IEEE/ACM International Conference on Automated Software Engineering
Feature Interaction Detection in the Automotive Domain
ASE '08 Proceedings of the 2008 23rd IEEE/ACM International Conference on Automated Software Engineering
Strong planning under partial observability
Artificial Intelligence
A novel formal verification approach for RTL hardware IP cores
Computer Standards & Interfaces
An automatic ABV methodology enabling PSL assertions across SLD flow for SOCs modeled in SystemC
Computers and Electrical Engineering
FLAVERS: a finite state verification technique for software systems
IBM Systems Journal
A formal approach to the verification of networks on chip
EURASIP Journal on Embedded Systems
Optimizing updates of recursive XML views of relations
The VLDB Journal — The International Journal on Very Large Data Bases
Dependent-latch identification in reachable state space
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Weakest Invariant Generation for Automated Addition of Fault-Tolerance
Electronic Notes in Theoretical Computer Science (ENTCS)
Groebner bases computation in Boolean rings for symbolic model checking
MS '07 The 18th IASTED International Conference on Modelling and Simulation
An Incremental Approach to Scope-Bounded Checking Using a Lightweight Formal Method
FM '09 Proceedings of the 2nd World Congress on Formal Methods
Bounded Semantics of CTL and SAT-Based Verification
ICFEM '09 Proceedings of the 11th International Conference on Formal Engineering Methods: Formal Methods and Software Engineering
Graded-CTL: Satisfiability and Symbolic Model Checking
ICFEM '09 Proceedings of the 11th International Conference on Formal Engineering Methods: Formal Methods and Software Engineering
Adopting model checking techniques for clinical guidelines verification
Artificial Intelligence in Medicine
SAT-based Induction for Temporal Safety Properties
Electronic Notes in Theoretical Computer Science (ENTCS)
Explicit-Symbolic Modelling for Formal Verification
Electronic Notes in Theoretical Computer Science (ENTCS)
Automatic Verification of Safety Rules for a Subway Control Software
Electronic Notes in Theoretical Computer Science (ENTCS)
An Input/Output Semantics for Distributed Program Equivalence Reasoning
Electronic Notes in Theoretical Computer Science (ENTCS)
Abstract Model Checking of tccp programs
Electronic Notes in Theoretical Computer Science (ENTCS)
Verifying a Behavioural Logic for Graph Transformation Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
Model Checking Multithreaded Programs by Means of Reduced Models
Electronic Notes in Theoretical Computer Science (ENTCS)
μ-Calculus Model Checking in Maude
Electronic Notes in Theoretical Computer Science (ENTCS)
Finding Extremal Models of Discrete Duration Calculus formulae using Symbolic Search
Electronic Notes in Theoretical Computer Science (ENTCS)
Language-Emptiness Checking of Alternating Tree Automata Using Symbolic Reachability Analysis
Electronic Notes in Theoretical Computer Science (ENTCS)
Symbolic Reasoning with Weighted and Normalized Decision Diagrams
Electronic Notes in Theoretical Computer Science (ENTCS)
Using heuristic search for finding deadlocks in concurrent systems
Information and Computation
Automated composition of Web services via planning in asynchronous domains
Artificial Intelligence
Action Language verifier: an infinite-state model checker for reactive software specifications
Formal Methods in System Design
MEMOCODE'09 Proceedings of the 7th IEEE/ACM international conference on Formal Methods and Models for Codesign
Multicore power management: ensuring robustness via early-stage formal verification
MEMOCODE'09 Proceedings of the 7th IEEE/ACM international conference on Formal Methods and Models for Codesign
Proving unreachability using bounded model checking
Proceedings of the 3rd India software engineering conference
An adaptive multi-agent organization model based on dynamic role allocation
International Journal of Knowledge-based and Intelligent Engineering Systems
Formal verification of UML-modeled machine controls
ETFA'09 Proceedings of the 14th IEEE international conference on Emerging technologies & factory automation
Hardware and Petri nets: application to asynchronous circuit design
ICATPN'00 Proceedings of the 21st international conference on Application and theory of petri nets
Liveness verification of discrete event systems modeled by n-safe ordinary Petri nets
ICATPN'00 Proceedings of the 21st international conference on Application and theory of petri nets
Validation of multiagent systems by symbolic model checking
AOSE'02 Proceedings of the 3rd international conference on Agent-oriented software engineering III
Dynamic change in workflow-based coordination of distributed services
IWSAS'01 Proceedings of the 2nd international conference on Self-adaptive software: applications
Safety verification for two-way finite automata with monotonic counters
DLT'02 Proceedings of the 6th international conference on Developments in language theory
Formal interactive systems analysis and usability inspection methods: two incompatible worlds?
DSV-IS'00 Proceedings of the 7th international conference on Design, specification, and verification of interactive systems
DSVIS'06 Proceedings of the 13th international conference on Interactive systems: Design, specification, and verification
Can saturation be parallelised?: on the parallelisation of a symbolic state-space generator
FMICS'06/PDMC'06 Proceedings of the 11th international workshop, FMICS 2006 and 5th international workshop, PDMC conference on Formal methods: Applications and technology
Distributed colored Petri net model-checking with CYCLADES
FMICS'06/PDMC'06 Proceedings of the 11th international workshop, FMICS 2006 and 5th international workshop, PDMC conference on Formal methods: Applications and technology
Representing and verifying temporal epistemic properties in multi-agent systems
CLIMA VII'06 Proceedings of the 7th international conference on Computational logic in multi-agent systems
Model checking and testing combined
ICALP'03 Proceedings of the 30th international conference on Automata, languages and programming
ICALP'03 Proceedings of the 30th international conference on Automata, languages and programming
ICALP'03 Proceedings of the 30th international conference on Automata, languages and programming
CIAA'03 Proceedings of the 8th international conference on Implementation and application of automata
CEGAR based bounded model checking of discrete time hybrid systems
HSCC'07 Proceedings of the 10th international conference on Hybrid systems: computation and control
Automata-theoretic model checking revisited
VMCAI'07 Proceedings of the 8th international conference on Verification, model checking, and abstract interpretation
The constraint database approach to software verification
VMCAI'07 Proceedings of the 8th international conference on Verification, model checking, and abstract interpretation
Model checking PSL using HOL and SMV
HVC'06 Proceedings of the 2nd international Haifa verification conference on Hardware and software, verification and testing
Detecting design flaws in UML state charts for embedded software
HVC'06 Proceedings of the 2nd international Haifa verification conference on Hardware and software, verification and testing
The weakness of self-complementation
STACS'99 Proceedings of the 16th annual conference on Theoretical aspects of computer science
Automatic software model checking using CLP
ESOP'03 Proceedings of the 12th European conference on Programming
Experimental analysis of different techniques for bounded model checking
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
On the universal and existential fragments of the µ-calculus
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
Construction of efficient BDDs for bounded arithmetic constraints
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
Formal modeling and analysis of an avionics triplex sensor voter
SPIN'03 Proceedings of the 10th international conference on Model checking software
Distributed explicit fair cycle detection: set based approach
SPIN'03 Proceedings of the 10th international conference on Model checking software
QBF-based symbolic model checking for knowledge and time
TAMC'07 Proceedings of the 4th international conference on Theory and applications of models of computation
Decidability of propositional projection temporal logic with infinite models
TAMC'07 Proceedings of the 4th international conference on Theory and applications of models of computation
Data representation and efficient solution: a decision diagram approach
SFM'07 Proceedings of the 7th international conference on Formal methods for performance evaluation
Automatic verification of a turbogas control system with the murϕ verifier
HSCC'03 Proceedings of the 6th international conference on Hybrid systems: computation and control
Model checking LTL over controllable linear systems is decidable
HSCC'03 Proceedings of the 6th international conference on Hybrid systems: computation and control
Encodings of problems in effectively propositional logic
SAT'07 Proceedings of the 10th international conference on Theory and applications of satisfiability testing
Parallelising symbolic state-space generators
CAV'07 Proceedings of the 19th international conference on Computer aided verification
Using counterexamples for improving the precision of reachability computation with polyhedra
CAV'07 Proceedings of the 19th international conference on Computer aided verification
Automated assumption generation for compositional verification
CAV'07 Proceedings of the 19th international conference on Computer aided verification
Proceedings of the 14th international SPIN conference on Model checking software
Learning from Constraints for Formal Property Checking
Journal of Electronic Testing: Theory and Applications
Automated analysis of compositional multi-agent systems
International Journal of Agent-Oriented Software Engineering
Linear-time model checking: automata theory in practice
CIAA'07 Proceedings of the 12th international conference on Implementation and application of automata
Weighted automata and weighted logics with discounting
CIAA'07 Proceedings of the 12th international conference on Implementation and application of automata
Model checking with SAT-based characterization of ACTL formulas
ICFEM'07 Proceedings of the formal engineering methods 9th international conference on Formal methods and software engineering
Model checking propositional projection temporal logic based on SPIN
ICFEM'07 Proceedings of the formal engineering methods 9th international conference on Formal methods and software engineering
SC2SCFL: automated systemC to systemCFLtranslation
SAMOS'07 Proceedings of the 7th international conference on Embedded computer systems: architectures, modeling, and simulation
Safety property analysis techniques for cooperating embedded systems using LTS
SEUS'07 Proceedings of the 5th IFIP WG 10.2 international conference on Software technologies for embedded and ubiquitous systems
Model checking contracts: a case study
ATVA'07 Proceedings of the 5th international conference on Automated technology for verification and analysis
Symbolic fault tree analysis for reactive systems
ATVA'07 Proceedings of the 5th international conference on Automated technology for verification and analysis
ATVA'07 Proceedings of the 5th international conference on Automated technology for verification and analysis
Using counterexample analysis to minimize the number of predicates for predicate abstraction
ATVA'07 Proceedings of the 5th international conference on Automated technology for verification and analysis
A hybrid algorithm for LTL games
VMCAI'08 Proceedings of the 9th international conference on Verification, model checking, and abstract interpretation
Antichains: alternative algorithms for LTL satisfiability and model-checking
TACAS'08/ETAPS'08 Proceedings of the Theory and practice of software, 14th international conference on Tools and algorithms for the construction and analysis of systems
Checking the TWIN elevator system by translating object-Z to SMV
FMICS'07 Proceedings of the 12th international conference on Formal methods for industrial critical systems
Pillars of computer science
Unfolding grammars in adhesive categories
CALCO'09 Proceedings of the 3rd international conference on Algebra and coalgebra in computer science
Perfect hashing for state spaces in BDD representation
KI'09 Proceedings of the 32nd annual German conference on Advances in artificial intelligence
On the constructive orbit problem
Annals of Mathematics and Artificial Intelligence
SUDS: an infrastructure for creating dynamic software defect detection tools
Automated Software Engineering
Proceedings of the 9th International Conference on Autonomous Agents and Multiagent Systems: volume 1 - Volume 1
Developer-friendly verification of process-based systems
Knowledge-Based Systems
An automatic method for the dynamic construction of abstractions of states of a formal model
Cybernetics and Systems Analysis
Solving satisfiability problems with preferences
Constraints
The Knowledge Engineering Review
Efficient modular glass box software model checking
Proceedings of the ACM international conference on Object oriented programming systems languages and applications
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Flash memory efficient LTL model checking
Science of Computer Programming
A Formal Logic Approach to Constrained Combinatorial Testing
Journal of Automated Reasoning
ST-Audit: guideline-based automatic auditing of electronic patient records
Journal of Intelligent Information Systems
Modeling and analyzing periodic distributed computations
SSS'10 Proceedings of the 12th international conference on Stabilization, safety, and security of distributed systems
Model checking: cleared for take off
SPIN'10 Proceedings of the 17th international SPIN conference on Model checking software
A false history of true concurrency: from Petri to tools
SPIN'10 Proceedings of the 17th international SPIN conference on Model checking software
On the computation of McMillan's prefix for contextual nets and graph grammars
ICGT'10 Proceedings of the 5th international conference on Graph transformations
Effect of fairness in model checking of self-stabilizing programs
OPODIS'10 Proceedings of the 14th international conference on Principles of distributed systems
An efficient algorithm for the parallel solution of high-dimensional differential equations
Journal of Computational and Applied Mathematics
Abstraction for model checking multi-agent systems
Frontiers of Computer Science in China
A decade of software model checking with SLAM
Communications of the ACM
Temporal formula specifications of asynchronous control module in model checking
ACS'06 Proceedings of the 6th WSEAS international conference on Applied computer science
Clone detection through process algebras and Java bytecode
Proceedings of the 5th International Workshop on Software Clones
Symbolic model checking of software product lines
Proceedings of the 33rd International Conference on Software Engineering
Computational & Mathematical Organization Theory
SAT-based semiformal verification of hardware
Proceedings of the 2010 Conference on Formal Methods in Computer-Aided Design
Finding short counterexamples in promela models using estimation of distribution algorithms
Proceedings of the 13th annual conference on Genetic and evolutionary computation
A model advisor for NuSMV specifications
Innovations in Systems and Software Engineering
ACAI '11 Proceedings of the International Conference on Advances in Computing and Artificial Intelligence
ADDiff: semantic differencing for activity diagrams
Proceedings of the 19th ACM SIGSOFT symposium and the 13th European conference on Foundations of software engineering
Checking models, proving programs, and testing systems
TAP'11 Proceedings of the 5th international conference on Tests and proofs
Symmetry-aware predicate abstraction for shared-variable concurrent programs
CAV'11 Proceedings of the 23rd international conference on Computer aided verification
An exploratory method for effective deployment of programmable logic controllers (PLCs)
WSEAS Transactions on Systems and Control
Equivalence checking between function block diagrams and C programs using HW-CBMC
SAFECOMP'11 Proceedings of the 30th international conference on Computer safety, reliability, and security
Runtime verification of component-based systems
SEFM'11 Proceedings of the 9th international conference on Software engineering and formal methods
Context-bounded model checking of LTL properties for ANSI-C software
SEFM'11 Proceedings of the 9th international conference on Software engineering and formal methods
Designing safe, reliable systems using scade
ISoLA'04 Proceedings of the First international conference on Leveraging Applications of Formal Methods
Abstraction and refinement in model checking
FMCO'05 Proceedings of the 4th international conference on Formal Methods for Components and Objects
Compiling constraint networks into AND/OR multi-valued decision diagrams (AOMDDs)
CP'06 Proceedings of the 12th international conference on Principles and Practice of Constraint Programming
Symbolic and on the fly testing with real-time observers
TestCom'06 Proceedings of the 18th IFIP TC6/WG6.1 international conference on Testing of Communicating Systems
Processes for adhesive rewriting systems
FOSSACS'06 Proceedings of the 9th European joint conference on Foundations of Software Science and Computation Structures
Finding bugs in network protocols using simulation code and protocol-specific heuristics
ICFEM'05 Proceedings of the 7th international conference on Formal Methods and Software Engineering
A decision procedure for the alternation-free two-way modal µ-calculus
TABLEAUX'05 Proceedings of the 14th international conference on Automated Reasoning with Analytic Tableaux and Related Methods
A new reachability algorithm for symmetric multi-processor architecture
ATVA'05 Proceedings of the Third international conference on Automated Technology for Verification and Analysis
Experimental evaluation of classical automata constructions
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Model checking abstract state machines with answer set programming
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Treewidth in verification: local vs. global
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Weighted automata and weighted logics
ICALP'05 Proceedings of the 32nd international conference on Automata, Languages and Programming
Reducing model checking of the few to the one
ICFEM'06 Proceedings of the 8th international conference on Formal Methods and Software Engineering
Optimized execution of deterministic blocks in java pathfinder
ICFEM'06 Proceedings of the 8th international conference on Formal Methods and Software Engineering
Analysing user confusion in context aware mobile applications
INTERACT'05 Proceedings of the 2005 IFIP TC13 international conference on Human-Computer Interaction
Retaining consistency in temporal knowledge bases
IEA/AIE'06 Proceedings of the 19th international conference on Advances in Applied Artificial Intelligence: industrial, Engineering and Other Applications of Applied Intelligent Systems
Formal modeling and verification of systems with self-x properties
ATC'06 Proceedings of the Third international conference on Autonomic and Trusted Computing
Solving games without determinization
CSL'06 Proceedings of the 20th international conference on Computer Science Logic
Improved SAT based bounded model checking
TAMC'06 Proceedings of the Third international conference on Theory and Applications of Models of Computation
Non-sequential behaviour of dynamic nets
ICATPN'06 Proceedings of the 27th international conference on Applications and Theory of Petri Nets and Other Models of Concurrency
Model checking for epistemic and temporal properties of uncertain agents
PRIMA'06 Proceedings of the 9th Pacific Rim international conference on Agent Computing and Multi-Agent Systems
On-the-Fly branching bisimulation minimization for compositional analysis
CIAA'06 Proceedings of the 11th international conference on Implementation and Application of Automata
Bounded rational search for on-the-fly model checking of LTL properties
FSEN'09 Proceedings of the Third IPM international conference on Fundamentals of Software Engineering
AsmetaSMV: a way to link high-level ASM models to low-level NuSMV specifications
ABZ'10 Proceedings of the Second international conference on Abstract State Machines, Alloy, B and Z
On partitioning and symbolic model checking
FM'05 Proceedings of the 2005 international conference on Formal Methods
Using model-based test program generator for simulation validation
ICESS'04 Proceedings of the First international conference on Embedded Software and Systems
Lower bounds on the OBDD size of graphs of some popular functions
SOFSEM'05 Proceedings of the 31st international conference on Theory and Practice of Computer Science
Efficiently verifiable conditions for deadlock-freedom of large concurrent programs
VMCAI'05 Proceedings of the 6th international conference on Verification, Model Checking, and Abstract Interpretation
Efficient state space exploration: interleaving stateless and state-based model checking
Proceedings of the International Conference on Computer-Aided Design
Minimizing generalized büchi automata
CAV'06 Proceedings of the 18th international conference on Computer Aided Verification
Don't care words with an application to the automata-based approach for real addition
CAV'06 Proceedings of the 18th international conference on Computer Aided Verification
Symbolic model checking of concurrent programs using partial orders and on-the-fly transactions
CAV'06 Proceedings of the 18th international conference on Computer Aided Verification
CAV'06 Proceedings of the 18th international conference on Computer Aided Verification
On the concurrent semantics of algebraic graph grammars
Formal Methods in Software and Systems Modeling
A formal framework for the development of concurrent object-based systems
Formal Methods in Software and Systems Modeling
Verifying the incorrectness of programs and automata
SARA'05 Proceedings of the 6th international conference on Abstraction, Reformulation and Approximation
Experiments with multiple abstraction heuristics in symbolic verification
SARA'05 Proceedings of the 6th international conference on Abstraction, Reformulation and Approximation
Towards symbolic model checking for multi-agent systems via OBDD's
FAABS'04 Proceedings of the Third international conference on Formal Approaches to Agent-Based Systems
Symstra: a framework for generating object-oriented unit tests using symbolic execution
TACAS'05 Proceedings of the 11th international conference on Tools and Algorithms for the Construction and Analysis of Systems
DiVer: SAT-based model checking platform for verifying large scale systems
TACAS'05 Proceedings of the 11th international conference on Tools and Algorithms for the Construction and Analysis of Systems
CAV'10 Proceedings of the 22nd international conference on Computer Aided Verification
SPLIT: a compositional LTL verifier
CAV'10 Proceedings of the 22nd international conference on Computer Aided Verification
A NuSMV extension for Graded-CTL model checking
CAV'10 Proceedings of the 22nd international conference on Computer Aided Verification
Specifying and verifying UML activity diagrams via graph transformation
GC'04 Proceedings of the 2004 IST/FET international conference on Global Computing
A generic network on chip model
TPHOLs'05 Proceedings of the 18th international conference on Theorem Proving in Higher Order Logics
Parallel external directed model checking with linear i/o
VMCAI'06 Proceedings of the 7th international conference on Verification, Model Checking, and Abstract Interpretation
Symmetry reduction in SAT-based model checking
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
Symbolic compositional verification by learning assumptions
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
Decision procedures customized for formal verification
CADE' 20 Proceedings of the 20th international conference on Automated Deduction
Model checking for nominal calculi
FOSSACS'05 Proceedings of the 8th international conference on Foundations of Software Science and Computation Structures
EDCC'05 Proceedings of the 5th European conference on Dependable Computing
Genetic algorithms for the variable ordering problem of binary decision diagrams
FOGA'05 Proceedings of the 8th international conference on Foundations of Genetic Algorithms
On symbolic scheduling independent tasks with restricted execution times
WEA'05 Proceedings of the 4th international conference on Experimental and Efficient Algorithms
SPIN'05 Proceedings of the 12th international conference on Model Checking Software
Interleaved invariant checking with dynamic abstraction
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
Saturation-based symbolic reachability analysis using conjunctive and disjunctive partitioning
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
Real-time model checking is really simple
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
Minimizing counterexample of ACTL property
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
Automatic analysis of a safety critical tele control system
SAFECOMP'05 Proceedings of the 24th international conference on Computer Safety, Reliability, and Security
Kripke modelling and verification of temporal specifications of a multiple UAV system
Annals of Mathematics and Artificial Intelligence
Developing high quality software with formal methods: what else is needed?
FORTE'05 Proceedings of the 25th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Abstraction-Guided model checking using symbolic IDA* and heuristic synthesis
FORTE'05 Proceedings of the 25th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Interactive verification of medical guidelines
FM'06 Proceedings of the 14th international conference on Formal Methods
A story about formal methods adoption by a railway signaling manufacturer
FM'06 Proceedings of the 14th international conference on Formal Methods
Model-based variable and transition orderings for efficient symbolic model checking
FM'06 Proceedings of the 14th international conference on Formal Methods
Formal verification of infinite state systems using boolean methods
RTA'06 Proceedings of the 17th international conference on Term Rewriting and Applications
A fine-grained fullness-guided chaining heuristic for symbolic reachability analysis
ATVA'06 Proceedings of the 4th international conference on Automated Technology for Verification and Analysis
Component-Based Software Development for Embedded Systems
The sweep-line state space exploration method
Theoretical Computer Science
SAT-Based verification methods and applications in hardware verification
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
Architectural building blocks for plug-and-play system design
CBSE'06 Proceedings of the 9th international conference on Component-Based Software Engineering
Weighted automata and weighted logics on infinite words
DLT'06 Proceedings of the 10th international conference on Developments in Language Theory
Proving the refuted: symbolic model checkers as proof generators
Concurrency, Compositionality, and Correctness
Smaller abstractions for ∀CTL* without next
Concurrency, Compositionality, and Correctness
BDDRPA*: an efficient BDD-Based incremental heuristic search algorithm for replanning
AI'06 Proceedings of the 19th Australian joint conference on Artificial Intelligence: advances in Artificial Intelligence
The complexity of model checking concurrent programs against CTLK specifications
DALT'06 Proceedings of the 4th international conference on Declarative Agent Languages and Technologies
Verification of temporal constraints in continuous time on nondeterministic stories
ICEC'11 Proceedings of the 10th international conference on Entertainment Computing
Towards dialogue based shared control of navigating robots
SC'04 Proceedings of the 4th international conference on Spatial Cognition: reasoning, Action, Interaction
NuEditor – a tool suite for specification and verification of NuSCR
SERA'04 Proceedings of the Second international conference on Software Engineering Research, Management and Applications
Simultaneous SAT-Based model checking of safety properties
HVC'05 Proceedings of the First Haifa international conference on Hardware and Software Verification and Testing
An enhanced flow analysis technique for detecting unreachability faults in concurrent systems
Information Sciences: an International Journal
Verification of medical guidelines by model checking – a case study
SPIN'06 Proceedings of the 13th international conference on Model Checking Software
A method to check the satisfaction of continuous-time constraints by nonlinear stories
ICIDS'11 Proceedings of the 4th international conference on Interactive Digital Storytelling
On application of multi-rooted binary decision diagrams to probabilistic model checking
VMCAI'12 Proceedings of the 13th international conference on Verification, Model Checking, and Abstract Interpretation
Symbolic execution of Reo circuits using constraint automata
Science of Computer Programming
Formal Methods in System Design
Formal framework to support organizational design
Knowledge-Based Systems
Evaluating possibilities for formally sound simulation and verification of VHDL
DCC'96 Proceedings of the 3rd international conference on Designing Correct Circuits
A logic to specify and verify synchronous transitions
IW-FM'99 Proceedings of the 3rd Irish conference on Formal Methods
SBMC: symmetric bounded model checking
VECoS'10 Proceedings of the Fourth international conference on Verification and Evaluation of Computer and Communication Systems
Hardware dependability in the presence of soft errors
VoCS'08 Proceedings of the 2008 international conference on Visions of Computer Science: BCS International Academic Conference
Ten years of saturation: a petri net perspective
Transactions on Petri Nets and Other Models of Concurrency V
Exact and fully symbolic verification of linear hybrid automata with large discrete state spaces
Science of Computer Programming
Research: Designing bug-free protocols with SPIN
Computer Communications
A safety-focused verification using software fault trees
Future Generation Computer Systems
Code generation for a family of executable modelling notations
Software and Systems Modeling (SoSyM)
Model translations among big-step modeling languages
Proceedings of the 34th International Conference on Software Engineering
Symbolic model checking for temporal-epistemic logic
Logic Programs, Norms and Action
Solving difficult SAT problems by using OBDDs and greedy clique decomposition
FAW-AAIM'12 Proceedings of the 6th international Frontiers in Algorithmics, and Proceedings of the 8th international conference on Algorithmic Aspects in Information and Management
SAT'12 Proceedings of the 15th international conference on Theory and Applications of Satisfiability Testing
Runtime Monitoring of Contract Regulated Web Services
Fundamenta Informaticae
Incremental, inductive CTL model checking
CAV'12 Proceedings of the 24th international conference on Computer Aided Verification
Abstraction for model checking modular interpreted systems over ATL
ProMAS'11 Proceedings of the 9th international conference on Programming Multi-Agent Systems
Beyond first-order satisfaction: fixed points, interpolants, automata and polynomials
SPIN'12 Proceedings of the 19th international conference on Model Checking Software
A Translator of Java Programs to TADDs
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Multi-Valued MSO Logics OverWords and Trees
Fundamenta Informaticae
SAT-based Unbounded Model Checking of Timed Automata
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Towards Verification of Java Programs in perICS
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Model Checking Abstract State Machines with Answer Set Programming
Fundamenta Informaticae - This is a SPECIAL ISSUE ON ASM'05
Bounded Model Checking for the Existential Fragment of TCTL$_{-G}$ and Diagonal Timed Automata
Fundamenta Informaticae
Path Compression in Timed Automata
Fundamenta Informaticae - Special Issue on Concurrency Specification and Programming (CS&P)
Verifying Security Protocols Modelled by Networks of Automata
Fundamenta Informaticae - Special Issue on Concurrency Specification and Programming (CS&P)
From Bounded to Unbounded Model Checking for Temporal Epistemic Logic
Fundamenta Informaticae - Multiagent Systems (FAMAS'03)
ACTLS properties and Bounded Model Checking
Fundamenta Informaticae
Thue Specifications, Infinite Graphs and Synchronized Product
Fundamenta Informaticae
Temporal antecedent failure: refining vacuity
CONCUR'07 Proceedings of the 18th international conference on Concurrency Theory
Using deductive cause-consequence analysis (DCCA) with SCADE
SAFECOMP'07 Proceedings of the 26th international conference on Computer Safety, Reliability, and Security
Detecting temporal logic predicates on distributed computations
DISC'07 Proceedings of the 21st international conference on Distributed Computing
ISPA'07 Proceedings of the 5th international conference on Parallel and Distributed Processing and Applications
Verification of computer switching networks: an overview
ATVA'12 Proceedings of the 10th international conference on Automated Technology for Verification and Analysis
Optimising ordering strategies for symbolic model checking of railway interlockings
ISoLA'12 Proceedings of the 5th international conference on Leveraging Applications of Formal Methods, Verification and Validation: applications and case studies - Volume Part II
Using cubes of non-state variables with property directed reachability
Proceedings of the Conference on Design, Automation and Test in Europe
Detecting spurious counterexamples efficiently in abstract model checking
Proceedings of the 2013 International Conference on Software Engineering
A complete proof system for propositional projection temporal logic
Theoretical Computer Science
Relative equivalence in the presence of ambiguity
CAV'13 Proceedings of the 25th international conference on Computer Aided Verification
HCI'13 Proceedings of the 15th international conference on Human-Computer Interaction: human-centred design approaches, methods, tools, and environments - Volume Part I
An algorithm on fairness verification of mobile sink routing in wireless sensor network
Personal and Ubiquitous Computing
Specification and Verification of Concurrent Programs Through Refinements
Journal of Automated Reasoning
Formal semantics, modular specification, and symbolic verification of product-line behaviour
Science of Computer Programming
Modeling, analyzing and slicing periodic distributed computations
Information and Computation
Verification and enforcement of access control policies
Formal Methods in System Design
Formal verification of security properties in trust management policy
Journal of Computer Security
Hi-index | 0.06 |