The complexity of propositional linear temporal logics
Journal of the ACM (JACM)
Graph-Based Algorithms for Boolean Function Manipulation
IEEE Transactions on Computers
Modalities for model checking: branching time logic strikes back
Science of Computer Programming
Symbolic model checking: 1020 states and beyond
Information and Computation - Special issue: Selections from 1990 IEEE symposium on logic in computer science
Model checking and abstraction
ACM Transactions on Programming Languages and Systems (TOPLAS)
Checking that finite state concurrent programs satisfy their linear specification
POPL '85 Proceedings of the 12th ACM SIGACT-SIGPLAN symposium on Principles of programming languages
A Computing Procedure for Quantification Theory
Journal of the ACM (JACM)
Symbolic Model Checking
The Industrial Success of Verification Tools Based on Stålmarck's Method
CAV '97 Proceedings of the 9th International Conference on Computer Aided Verification
Another Look at LTL Model Checking
CAV '94 Proceedings of the 6th International Conference on Computer Aided Verification
Design and Synthesis of Synchronization Skeletons Using Branching-Time Temporal Logic
Logic of Programs, Workshop
CADE-13 Proceedings of the 13th International Conference on Automated Deduction: Automated Deduction
SATO: An Efficient Propositional Prover
CADE-14 Proceedings of the 14th International Conference on Automated Deduction
Pushing the envelope: planning, propositional logic, and stochastic search
AAAI'96 Proceedings of the thirteenth national conference on Artificial intelligence - Volume 2
Approximate Verification of Probabilistic Systems
PAPM-PROBMIV '02 Proceedings of the Second Joint International Workshop on Process Algebra and Probabilistic Methods, Performance Modeling and Verification
Bytecode Model Checking: An Experimental Analysis
Proceedings of the 9th International SPIN Workshop on Model Checking of Software
Bounded Model Checking for Timed Systems
FORTE '02 Proceedings of the 22nd IFIP WG 6.1 International Conference Houston on Formal Techniques for Networked and Distributed Systems
SAT-Based Image Computation with Application in Reachability Analysis
FMCAD '00 Proceedings of the Third International Conference on Formal Methods in Computer-Aided Design
SAT-Based Verification without State Space Traversal
FMCAD '00 Proceedings of the Third International Conference on Formal Methods in Computer-Aided Design
Checking Safety Properties Using Induction and a SAT-Solver
FMCAD '00 Proceedings of the Third International Conference on Formal Methods in Computer-Aided Design
QUBOS: Deciding Quantified Boolean Logic Using Propositional Satisfiability Solvers
FMCAD '02 Proceedings of the 4th International Conference on Formal Methods in Computer-Aided Design
FMCAD '02 Proceedings of the 4th International Conference on Formal Methods in Computer-Aided Design
Using Edge-Valued Decision Diagrams for Symbolic Generation of Shortest Paths
FMCAD '02 Proceedings of the 4th International Conference on Formal Methods in Computer-Aided Design
A Fixpoint Based Encoding for Bounded Model Checking
FMCAD '02 Proceedings of the 4th International Conference on Formal Methods in Computer-Aided Design
Dependent and Independent Variables in Propositional Satisfiability
JELIA '02 Proceedings of the European Conference on Logics in Artificial Intelligence
Symbolic Reachability Analysis Based on SAT-Solvers
TACAS '00 Proceedings of the 6th International Conference on Tools and Algorithms for Construction and Analysis of Systems: Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS 2000
Satisfiability Checking Using Boolean Expression Diagrams
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Model Checking Syllabi and Student Carreers
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Saturation: An Efficient Iteration Strategy for Symbolic State-Space Generation
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Improving the Encoding of LTL Model Checking into SAT
VMCAI '02 Revised Papers from the Third International Workshop on Verification, Model Checking, and Abstract Interpretation
Efficient Computation of Recurrence Diameters
VMCAI 2003 Proceedings of the 4th International Conference on Verification, Model Checking, and Abstract Interpretation
Bounded Reachability Checking with Process Semantics
CONCUR '01 Proceedings of the 12th International Conference on Concurrency Theory
Techniques for Smaller Intermediary BDDs
CONCUR '01 Proceedings of the 12th International Conference on Concurrency Theory
Reducing Model Checking from Multi-valued {\rm CTL}^{\ast} to {\rm CTL}^{\ast}
CONCUR '02 Proceedings of the 13th International Conference on Concurrency Theory
CHARME '99 Proceedings of the 10th IFIP WG 10.5 Advanced Research Working Conference on Correct Hardware Design and Verification Methods
Pruning Techniques for the SAT-Based Bounded Model Checking Problem
CHARME '01 Proceedings of the 11th IFIP WG 10.5 Advanced Research Working Conference on Correct Hardware Design and Verification Methods
Efficient Model Checking of Causal-Knowledge Protocols
CEEMAS '01 Revised Papers from the Second International Workshop of Central and Eastern Europe on Multi-Agent Systems: From Theory to Practice in Multi-Agent Systems
Integrating BDD-Based and SAT-Based Symbolic Model Checking
FroCoS '02 Proceedings of the 4th International Workshop on Frontiers of Combining Systems
Verification of Timed Automata via Satisfiability Checking
FTRTFT '02 Proceedings of the 7th International Symposium on Formal Techniques in Real-Time and Fault-Tolerant Systems: Co-sponsored by IFIP WG 2.2
Take It NP-Easy: Bounded Model Construction for Duration Calculus
FTRTFT '02 Proceedings of the 7th International Symposium on Formal Techniques in Real-Time and Fault-Tolerant Systems: Co-sponsored by IFIP WG 2.2
Towards Bounded Model Checking for the Universal Fragment of TCTL
FTRTFT '02 Proceedings of the 7th International Symposium on Formal Techniques in Real-Time and Fault-Tolerant Systems: Co-sponsored by IFIP WG 2.2
omega-Regular Languages Are Testable with a Constant Number of Queries
RANDOM '02 Proceedings of the 6th International Workshop on Randomization and Approximation Techniques
Formal Verification Methods for Industrial Hardware Design
SOFSEM '01 Proceedings of the 28th Conference on Current Trends in Theory and Practice of Informatics Piestany: Theory and Practice of Informatics
Checking Safety Properties of Behavioral VHDL Descriptions by Abstract Interpretation
SAS '02 Proceedings of the 9th International Symposium on Static Analysis
Improving Symbolic Model Checking by Rewriting Temporal Logic Formulae
RTA '02 Proceedings of the 13th International Conference on Rewriting Techniques and Applications
Comparing SAT Encodings for Model Checking
CP '01 Proceedings of the 7th International Conference on Principles and Practice of Constraint Programming
Towards a Symmetric Treatment of Satisfaction and Conflicts in Quantified Boolean Formula Evaluation
CP '02 Proceedings of the 8th International Conference on Principles and Practice of Constraint Programming
Towards an Efficient Tableau Method for Boolean Circuit Satisfiability Checking
CL '00 Proceedings of the First International Conference on Computational Logic
Error Detection with Directed Symbolic Model Checking
FM '99 Proceedings of the Wold Congress on Formal Methods in the Development of Computing Systems-Volume I - Volume I
Symbolic Model Checking with Fewer Fixpoint Computations
FM '99 Proceedings of the Wold Congress on Formal Methods in the Development of Computing Systems-Volume I - Volume I
NUSMV: A New Symbolic Model Verifier
CAV '99 Proceedings of the 11th International Conference on Computer Aided Verification
Verifiying Safety Properties of a Power PC Microprocessor Using Symbolic Model Checking without BDDs
CAV '99 Proceedings of the 11th International Conference on Computer Aided Verification
Benefits of Bounded Model Checking at an Industrial Setting
CAV '01 Proceedings of the 13th International Conference on Computer Aided Verification
Finding Bugs in an Alpha Microprocessor Using Satisfiability Solvers
CAV '01 Proceedings of the 13th International Conference on Computer Aided Verification
Property Checking via Structural Analysis
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
Applying SAT Methods in Unbounded Symbolic Model Checking
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
SAT Based Abstraction-Refinement Using ILP and Machine Learning Techniques
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
Stochastic Colored Petri Net Models for Rainbow Optical Networks
Application of Petri Nets to Communication Networks, Advances in Petri Nets
NuSMV 2: An OpenSource Tool for Symbolic Model Checking
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
Semi-formal Bounded Model Checking
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
The Quest for Efficient Boolean Satisfiability Solvers
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
Integrating Boolean and Mathematical Solving: Foundations, Basic Algorithms, and Requirements
AISC '02/Calculemus '02 Proceedings of the Joint International Conferences on Artificial Intelligence, Automated Reasoning, and Symbolic Computation
Evaluating Search Heuristics and Optimization Techniques in Propositional Satisfiability
IJCAR '01 Proceedings of the First International Joint Conference on Automated Reasoning
Fine-Grain Conjunction Scheduling for Symbolic Reachability Analysis
TACAS '02 Proceedings of the 8th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Formal Verification Using Bounded Model Checking: SAT versus Sequential ATPG Engines
VLSID '03 Proceedings of the 16th International Conference on VLSI Design
Combination Model Checking: Approach and a Case Study
Proceedings of the 19th IEEE international conference on Automated software engineering
State Set Management for SAT-based Unbounded Model Checking
ICCD '05 Proceedings of the 2005 International Conference on Computer Design
Enhancing SAT-based equivalence checking with static logic implications
HLDVT '03 Proceedings of the Eighth IEEE International Workshop on High-Level Design Validation and Test Workshop
ATPG-based preimage computation: efficient search space pruning with ZBDD
HLDVT '03 Proceedings of the Eighth IEEE International Workshop on High-Level Design Validation and Test Workshop
Automatic Debugging of Real-Time Systems Based on Incremental Satisfiability Counting
IEEE Transactions on Computers
On-Chip Communication Architectures: System on Chip Interconnect
On-Chip Communication Architectures: System on Chip Interconnect
A Symbolic Model Checking Framework for Safety Analysis, Diagnosis, and Synthesis
Model Checking and Artificial Intelligence
Model Checking and Artificial Intelligence
Bounded Model Checking with Description Logic Reasoning
TABLEAUX '07 Proceedings of the 16th international conference on Automated Reasoning with Analytic Tableaux and Related Methods
Encodings of Bounded LTL Model Checking in Effectively Propositional Logic
CADE-21 Proceedings of the 21st international conference on Automated Deduction: Automated Deduction
HSCC '08 Proceedings of the 11th international workshop on Hybrid Systems: Computation and Control
LTLC: Linear Temporal Logic for Control
HSCC '08 Proceedings of the 11th international workshop on Hybrid Systems: Computation and Control
25 Years of Model Checking
The Beginning of Model Checking: A Personal Perspective
25 Years of Model Checking
Fifteen Years of Formal Property Verification in Intel
25 Years of Model Checking
An SMT Approach to Bounded Reachability Analysis of Model Programs
FORTE '08 Proceedings of the 28th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Symbolic Step Encodings for Object Based Communicating State Machines
FMOODS '08 Proceedings of the 10th IFIP WG 6.1 international conference on Formal Methods for Open Object-Based Distributed Systems
Semi-external LTL Model Checking
CAV '08 Proceedings of the 20th international conference on Computer Aided Verification
Tutorial on Model Checking: Modelling and Verification in Computer Science
AB '08 Proceedings of the 3rd international conference on Algebraic Biology
Efficient Modeling of Concurrent Systems in BMC
SPIN '08 Proceedings of the 15th international workshop on Model Checking Software
Benchmarking Model- and Satisfiability-Checking on Bi-infinite Time
Proceedings of the 5th international colloquium on Theoretical Aspects of Computing
Bounded Model Checking for Partial Kripke Structures
Proceedings of the 5th international colloquium on Theoretical Aspects of Computing
A Direct Algorithm for Multi-valued Bounded Model Checking
ATVA '08 Proceedings of the 6th International Symposium on Automated Technology for Verification and Analysis
SAT Modulo ODE: A Direct SAT Approach to Hybrid Systems
ATVA '08 Proceedings of the 6th International Symposium on Automated Technology for Verification and Analysis
ATVA '08 Proceedings of the 6th International Symposium on Automated Technology for Verification and Analysis
Revising Specifications with CTL Properties Using Bounded Model Checking
SBIA '08 Proceedings of the 19th Brazilian Symposium on Artificial Intelligence: Advances in Artificial Intelligence
A Unified Model Checking Approach with Projection Temporal Logic
ICFEM '08 Proceedings of the 10th International Conference on Formal Methods and Software Engineering
Encoding Queues in Satisfiability Modulo Theories Based Bounded Model Checking
LPAR '08 Proceedings of the 15th International Conference on Logic for Programming, Artificial Intelligence, and Reasoning
On Bounded Reachability of Programs with Set Comprehensions
LPAR '08 Proceedings of the 15th International Conference on Logic for Programming, Artificial Intelligence, and Reasoning
An Automata-Theoretic Dynamic Completeness Criterion for Bounded Model-Checking
VMCAI '09 Proceedings of the 10th International Conference on Verification, Model Checking, and Abstract Interpretation
Solving μ-Calculus Parity Games by Symbolic Planning
Model Checking and Artificial Intelligence
Reconfigurable Computing: The Theory and Practice of FPGA-Based Computation
Reconfigurable Computing: The Theory and Practice of FPGA-Based Computation
On Extending Bounded Proofs to Inductive Proofs
CAV '09 Proceedings of the 21st International Conference on Computer Aided Verification
Monotonic Partial Order Reduction: An Optimal Symbolic Partial Order Reduction Technique
CAV '09 Proceedings of the 21st International Conference on Computer Aided Verification
The COMPASS Approach: Correctness, Modelling and Performability of Aerospace Systems
SAFECOMP '09 Proceedings of the 28th International Conference on Computer Safety, Reliability, and Security
ICTAC '09 Proceedings of the 6th International Colloquium on Theoretical Aspects of Computing
Abstract Counterexamples for Non-disjunctive Abstractions
RP '09 Proceedings of the 3rd International Workshop on Reachability Problems
Abstract Model Checking without Computing the Abstraction
FM '09 Proceedings of the 2nd World Congress on Formal Methods
A Metric Encoding for Bounded Model Checking
FM '09 Proceedings of the 2nd World Congress on Formal Methods
Bounded Semantics of CTL and SAT-Based Verification
ICFEM '09 Proceedings of the 11th International Conference on Formal Engineering Methods: Formal Methods and Software Engineering
Scalable formula decomposition for propositional satisfiability
Proceedings of the Third C* Conference on Computer Science and Software Engineering
Analyzing k-step induction to compute invariants for SAT-based property checking
Proceedings of the 47th Design Automation Conference
Distributed BDD-based BMC for the verification of multi-agent systems
Proceedings of the 9th International Conference on Autonomous Agents and Multiagent Systems: volume 1 - Volume 1
Solving satisfiability problems with preferences
Constraints
Automatic inference of abstract type behavior
Proceedings of the IEEE/ACM international conference on Automated software engineering
Property analysis and design understanding
Proceedings of the Conference on Design, Automation and Test in Europe
Strengthening properties using abstraction refinement
Proceedings of the Conference on Design, Automation and Test in Europe
The localization reduction and counterexample-guided abstraction refinement
Time for verification
Heuristics for planning with SAT
CP'10 Proceedings of the 16th international conference on Principles and practice of constraint programming
Exact DFA identification using SAT solvers
ICGI'10 Proceedings of the 10th international colloquium conference on Grammatical inference: theoretical results and applications
A state/event-based model-checking approach for the analysis of abstract system properties
Science of Computer Programming
Combinatorial Optimization Solutions for the Maximum Quartet Consistency Problem
Fundamenta Informaticae - RCRA 2008 Experimental Evaluation of Algorithms for Solving Problems with Combinatorial Explosion
Integration, the VLSI Journal
Journal of Automated Reasoning
A Formal Logic Approach to Constrained Combinatorial Testing
Journal of Automated Reasoning
TAP'10 Proceedings of the 4th international conference on Tests and proofs
Managing verification error traces with bounded model debugging
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
Efficient explicit-state model checking on general purpose graphics processors
SPIN'10 Proceedings of the 17th international SPIN conference on Model checking software
A combination of forward and backward reachability analysis methods
ICFEM'10 Proceedings of the 12th international conference on Formal engineering methods and software engineering
Comparison of model checking tools for information systems
ICFEM'10 Proceedings of the 12th international conference on Formal engineering methods and software engineering
SAT-based model checking without unrolling
VMCAI'11 Proceedings of the 12th international conference on Verification, model checking, and abstract interpretation
Access nets: modeling access to physical spaces
VMCAI'11 Proceedings of the 12th international conference on Verification, model checking, and abstract interpretation
Bisimulation conversion and verification procedure for goal-based control systems
Formal Methods in System Design
Abstraction for model checking multi-agent systems
Frontiers of Computer Science in China
Sechecker: a sequential equivalence checking framework based on K th invariants
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A dynamic constraint-based BMC strategy for generating counterexamples
Proceedings of the 2011 ACM Symposium on Applied Computing
DKAL and Z3: a logic embedding experiment
Fields of logic and computation
Bounded parametric model checking for elementary net systems
Transactions on Petri nets and other models of concurrency IV
Model checking using SMT and theory of lists
NFM'11 Proceedings of the Third international conference on NASA Formal methods
Generalized craig interpolation for stochastic boolean satisfiability problems
TACAS'11/ETAPS'11 Proceedings of the 17th international conference on Tools and algorithms for the construction and analysis of systems: part of the joint European conferences on theory and practice of software
Formalizing requirements with object models and temporal constraints
Software and Systems Modeling (SoSyM)
Coping with Moore's law (and more): supporting arrays in state-of-the-art model checkers
Proceedings of the 2010 Conference on Formal Methods in Computer-Aided Design
A halting algorithm to determine the existence of decoder
Proceedings of the 2010 Conference on Formal Methods in Computer-Aided Design
Automated formal verification of processors based on architectural models
Proceedings of the 2010 Conference on Formal Methods in Computer-Aided Design
Encoding industrial hardware verification problems into effectively propositional logic
Proceedings of the 2010 Conference on Formal Methods in Computer-Aided Design
Predicate abstraction with adjustable-block encoding
Proceedings of the 2010 Conference on Formal Methods in Computer-Aided Design
Path predicate abstraction by complete interval property checking
Proceedings of the 2010 Conference on Formal Methods in Computer-Aided Design
Boosting minimal unsatisfiable core extraction
Proceedings of the 2010 Conference on Formal Methods in Computer-Aided Design
Propelling SAT and SAT-based BMC using careset
Proceedings of the 2010 Conference on Formal Methods in Computer-Aided Design
Runtime Verification for LTL and TLTL
ACM Transactions on Software Engineering and Methodology (TOSEM)
Program model checking via action planning
MoChArt'10 Proceedings of the 6th international conference on Model checking and artificial intelligence
Debugging the data plane with anteater
Proceedings of the ACM SIGCOMM 2011 conference
KRATOS: a software model checker for SystemC
CAV'11 Proceedings of the 23rd international conference on Computer aided verification
Linear completeness thresholds for bounded model checking
CAV'11 Proceedings of the 23rd international conference on Computer aided verification
Validating QBF validity in HOL4
ITP'11 Proceedings of the Second international conference on Interactive theorem proving
Proving valid quantified Boolean formulas in HOL light
ITP'11 Proceedings of the Second international conference on Interactive theorem proving
On the adoption of model checking in safety-related software industry
SAFECOMP'11 Proceedings of the 30th international conference on Computer safety, reliability, and security
An abstract, on the fly framework for the verification of service-oriented systems
Rigorous software engineering for service-oriented systems
Rigorous software engineering for service-oriented systems
Model-based dependability analysis of programmable drug infusion pumps
FORMATS'11 Proceedings of the 9th international conference on Formal modeling and analysis of timed systems
Automatic proof and disproof in Isabelle/HOL
FroCoS'11 Proceedings of the 8th international conference on Frontiers of combining systems
Feasibility analysis for robustness quantification by symbolic model checking
Formal Methods in System Design
Learning finite cover automata from queries
Journal of Computer and System Sciences
Abstraction and refinement in model checking
FMCO'05 Proceedings of the 4th international conference on Formal Methods for Components and Objects
SAS'06 Proceedings of the 13th international conference on Static Analysis
Extended resolution proofs for conjoining BDDs
CSR'06 Proceedings of the First international computer science conference on Theory and Applications
Selective search in bounded model checking of reachability properties
ATVA'05 Proceedings of the Third international conference on Automated Technology for Verification and Analysis
Incremental compilation-to-SAT procedures
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Aligning CNF- and equivalence-reasoning
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Clause form conversions for boolean circuits
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
CirCUs: a hybrid satisfiability solver
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
March_eq: implementing additional reasoning into an efficient look-ahead SAT solver
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Zchaff2004: an efficient SAT solver
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Compositional verification of asynchronous processes via constraint solving
ICALP'05 Proceedings of the 32nd international conference on Automata, Languages and Programming
Reducing model checking of the few to the one
ICFEM'06 Proceedings of the 8th international conference on Formal Methods and Software Engineering
Variable minimal unsatisfiability
TAMC'06 Proceedings of the Third international conference on Theory and Applications of Models of Computation
Improved SAT based bounded model checking
TAMC'06 Proceedings of the Third international conference on Theory and Applications of Models of Computation
Checking bounded reachability in asynchronous systems by symbolic event tracing
VMCAI'10 Proceedings of the 11th international conference on Verification, Model Checking, and Abstract Interpretation
Towards a notion of unsatisfiable cores for LTL
FSEN'09 Proceedings of the Third IPM international conference on Fundamentals of Software Engineering
Bounded rational search for on-the-fly model checking of LTL properties
FSEN'09 Proceedings of the Third IPM international conference on Fundamentals of Software Engineering
Automatic cross validation of multiple specifications: a case study
FASE'10 Proceedings of the 13th international conference on Fundamental Approaches to Software Engineering
Benchmarking SAT solvers for bounded model checking
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
Formal methods and testing: hypotheses, and correctness approximations
FM'05 Proceedings of the 2005 international conference on Formal Methods
Weak automata for the linear time µ-calculus
VMCAI'05 Proceedings of the 6th international conference on Verification, Model Checking, and Abstract Interpretation
Simple is better: efficient bounded model checking for past LTL
VMCAI'05 Proceedings of the 6th international conference on Verification, Model Checking, and Abstract Interpretation
Optimizing bounded model checking for linear hybrid systems
VMCAI'05 Proceedings of the 6th international conference on Verification, Model Checking, and Abstract Interpretation
Efficiently verifiable conditions for deadlock-freedom of large concurrent programs
VMCAI'05 Proceedings of the 6th international conference on Verification, Model Checking, and Abstract Interpretation
Towards completely automatic decoder synthesis
Proceedings of the International Conference on Computer-Aided Design
Efficient state space exploration: interleaving stateless and state-based model checking
Proceedings of the International Conference on Computer-Aided Design
Bounded model checking for weak alternating büchi automata
CAV'06 Proceedings of the 18th international conference on Computer Aided Verification
Automatic refinement and vacuity detection for symbolic trajectory evaluation
CAV'06 Proceedings of the 18th international conference on Computer Aided Verification
EverLost: a flexible platform for industrial-strength abstraction-guided simulation
CAV'06 Proceedings of the 18th international conference on Computer Aided Verification
Symbolic model checking of concurrent programs using partial orders and on-the-fly transactions
CAV'06 Proceedings of the 18th international conference on Computer Aided Verification
Verifying multi-agent systems via unbounded model checking
FAABS'04 Proceedings of the Third international conference on Formal Approaches to Agent-Based Systems
Applications of craig interpolants in model checking
TACAS'05 Proceedings of the 11th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Efficient conflict analysis for finding all satisfying assignments of a boolean circuit
TACAS'05 Proceedings of the 11th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Bounded validity checking of interval duration logic
TACAS'05 Proceedings of the 11th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Shortest counterexamples for symbolic model checking of LTL with past
TACAS'05 Proceedings of the 11th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Interpolant-based transition relation approximation
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
Bounded model checking of concurrent programs
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
Incremental and complete bounded model checking for full PLTL
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
Abstraction refinement for bounded model checking
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
Symbolic compositional verification by learning assumptions
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
Bounded model checking of pointer programs
CSL'05 Proceedings of the 19th international conference on Computer Science Logic
Verifying quantitative properties using bound functions
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
A new SAT-based algorithm for symbolic trajectory evaluation
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
An analysis of SAT-based model checking techniques in an industrial environment
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
Acceleration of SAT-based iterative property checking
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
Improvements to the implementation of interpolant-based model checking
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
A case study: formal verification of processor critical properties
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
IC3: where monolithic and incremental meet
Proceedings of the International Conference on Formal Methods in Computer-Aided Design
An incremental approach to model checking progress properties
Proceedings of the International Conference on Formal Methods in Computer-Aided Design
A theory of abstraction for arrays
Proceedings of the International Conference on Formal Methods in Computer-Aided Design
Proving ∀µ-calculus properties with SAT-based model checking
FORTE'05 Proceedings of the 25th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Abstraction-Guided model checking using symbolic IDA* and heuristic synthesis
FORTE'05 Proceedings of the 25th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Encoding techniques, craig interpolants and bounded model checking for incomplete designs
SAT'10 Proceedings of the 13th international conference on Theory and Applications of Satisfiability Testing
A scalable algorithm for minimal unsatisfiable core extraction
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Extended resolution proofs for symbolic SAT solving with quantification
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Solving quantified boolean formulas with circuit observability don't cares
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Automatic test pattern generation
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
SAT-Based verification methods and applications in hardware verification
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
Bounded analysis and decomposition for behavioural descriptions of components
FMOODS'06 Proceedings of the 8th IFIP WG 6.1 international conference on Formal Methods for Open Object-Based Distributed Systems
Concurrency, Compositionality, and Correctness
Verification of multi-agent systems via bounded model checking
AI'06 Proceedings of the 19th Australian joint conference on Artificial Intelligence: advances in Artificial Intelligence
Symbolic bounded conformance checking of model programs
PSI'09 Proceedings of the 7th international Andrei Ershov Memorial conference on Perspectives of Systems Informatics
An alternative to SAT-Based approaches for bit-vectors
TACAS'10 Proceedings of the 16th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Nitpick: a counterexample generator for higher-order logic based on a relational model finder
ITP'10 Proceedings of the First international conference on Interactive Theorem Proving
Validating QBF invalidity in HOL4
ITP'10 Proceedings of the First international conference on Interactive Theorem Proving
Compositional construction of real-time dataflow networks
COORDINATION'10 Proceedings of the 12th international conference on Coordination Models and Languages
Simultaneous SAT-Based model checking of safety properties
HVC'05 Proceedings of the First Haifa international conference on Hardware and Software Verification and Testing
Efficient abstraction refinement in interpolation-based unbounded model checking
TACAS'06 Proceedings of the 12th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Exploiting traces in program analysis
TACAS'06 Proceedings of the 12th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Bounded model checking of software using SMT solvers instead of SAT solvers
SPIN'06 Proceedings of the 13th international conference on Model Checking Software
Assessing system vulnerability using formal verification techniques
MEMICS'11 Proceedings of the 7th international conference on Mathematical and Engineering Methods in Computer Science
Tightening test coverage metrics: a case study in equivalence checking using k-induction
FMCO'10 Proceedings of the 9th international conference on Formal Methods for Components and Objects
LLBMC: bounded model checking of C and C++ programs using a compiler IR
VSTTE'12 Proceedings of the 4th international conference on Verified Software: theories, tools, experiments
Towards a notion of unsatisfiable and unrealizable cores for LTL
Science of Computer Programming
DC2: A framework for scalable, scope-bounded software verification
ASE '11 Proceedings of the 2011 26th IEEE/ACM International Conference on Automated Software Engineering
Efficient and formal generalized symbolic execution
Automated Software Engineering
Directed test generation for validation of multicore architectures
ACM Transactions on Design Automation of Electronic Systems (TODAES) - Special section on verification challenges in the concurrent world
SBMC: symmetric bounded model checking
VECoS'10 Proceedings of the Fourth international conference on Verification and Evaluation of Computer and Communication Systems
Symbolic model checking on SystemC designs
Proceedings of the 49th Annual Design Automation Conference
Ten years of saturation: a petri net perspective
Transactions on Petri Nets and Other Models of Concurrency V
Analysing the control software of the compact muon solenoid experiment at the large hadron collider
FSEN'11 Proceedings of the 4th IPM international conference on Fundamentals of Software Engineering
Exploiting step semantics for efficient bounded model checking of asynchronous systems
Science of Computer Programming
SAT-solving in CSP trace refinement
Science of Computer Programming
Schedule insensitivity reduction
FASE'12 Proceedings of the 15th international conference on Fundamental Approaches to Software Engineering
Competition on software verification
TACAS'12 Proceedings of the 18th international conference on Tools and Algorithms for the Construction and Analysis of Systems
A uniform approach for generating proofs and strategies for both true and false QBF formulas
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume One
Survey: Linear Temporal Logic Symbolic Model Checking
Computer Science Review
Symbolic model checking for temporal-epistemic logic
Logic Programs, Norms and Action
Temporal logic model checking in alloy
ABZ'12 Proceedings of the Third international conference on Abstract State Machines, Alloy, B, VDM, and Z
LTL model-checking for security protocols
AI Communications
SAT'12 Proceedings of the 15th international conference on Theory and Applications of Satisfiability Testing
EPR-based bounded model checking at word level
IJCAR'12 Proceedings of the 6th international joint conference on Automated Reasoning
A PLTL-prover based on labelled superposition with partial model guidance
IJCAR'12 Proceedings of the 6th international joint conference on Automated Reasoning
On the Magnitude of Completeness Thresholds in Bounded Model Checking
LICS '12 Proceedings of the 2012 27th Annual IEEE/ACM Symposium on Logic in Computer Science
BDD-based Bounded Model Checking for Temporal Properties of 1-Safe Petri Nets
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Diagnosing abstraction failure for separation logic-based analyses
CAV'12 Proceedings of the 24th international conference on Computer Aided Verification
Timed relational abstractions for sampled data control systems
CAV'12 Proceedings of the 24th international conference on Computer Aided Verification
Formal verification and validation of ERTMS industrial railway train spacing system
CAV'12 Proceedings of the 24th international conference on Computer Aided Verification
nuTAB-BackSpace: rewriting to normalize non-determinism in post-silicon debug traces
CAV'12 Proceedings of the 24th international conference on Computer Aided Verification
CAV'12 Proceedings of the 24th international conference on Computer Aided Verification
Beyond first-order satisfaction: fixed points, interpolants, automata and polynomials
SPIN'12 Proceedings of the 19th international conference on Model Checking Software
FAuST: a framework for formal verification, automated debugging, and software test generation
SPIN'12 Proceedings of the 19th international conference on Model Checking Software
Modeling and analyzing the interaction of C and C++ strings
FoVeOOS'11 Proceedings of the 2011 international conference on Formal Verification of Object-Oriented Software
Time-Bounded Model Checking of Infinite-State Continuous-Time Markov Chains
Fundamenta Informaticae - Application of Concurrency to System Design
Beyond lassos: complete SMT-Based bounded model checking for timed automata
FMOODS'12/FORTE'12 Proceedings of the 14th joint IFIP WG 6.1 international conference and Proceedings of the 32nd IFIP WG 6.1 international conference on Formal Techniques for Distributed Systems
Improvements in SAT-based Reachability Analysis for Timed Automata
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P 2003)
From Bounded to Unbounded Model Checking for Temporal Epistemic Logic
Fundamenta Informaticae - Multiagent Systems (FAMAS'03)
Verifying Epistemic Properties of Multi-agent Systems via Bounded Model Checking
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P'2002), Part 2
Checking Reachability Properties for Timed Automata via SAT
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P'2002), Part 2
ACTLS properties and Bounded Model Checking
Fundamenta Informaticae
Bounded Model Checking for the Universal Fragment of CTL
Fundamenta Informaticae - Concurrency Specification and Programming Workshop (CS&P'2001)
Validation of requirements for hybrid systems: A formal approach
ACM Transactions on Software Engineering and Methodology (TOSEM)
Secure two-party computations in ANSI C
Proceedings of the 2012 ACM conference on Computer and communications security
Conditional model checking: a technique to pass information between verifiers
Proceedings of the ACM SIGSOFT 20th International Symposium on the Foundations of Software Engineering
Planning as satisfiability: Heuristics
Artificial Intelligence
Parallel NuSMV: a NuSMV extension for the verification of complex embedded systems
SAFECOMP'12 Proceedings of the 2012 international conference on Computer Safety, Reliability, and Security
Boosting local consistency algorithms over floating-point numbers
CP'12 Proceedings of the 18th international conference on Principles and Practice of Constraint Programming
Constraint satisfaction over bit-vectors
CP'12 Proceedings of the 18th international conference on Principles and Practice of Constraint Programming
Understanding, improving and parallelizing MUS finding using model rotation
CP'12 Proceedings of the 18th international conference on Principles and Practice of Constraint Programming
FunFrog: bounded model checking with interpolation-based function summarization
ATVA'12 Proceedings of the 10th international conference on Automated Technology for Verification and Analysis
ALLQBF solving by computational learning
ATVA'12 Proceedings of the 10th international conference on Automated Technology for Verification and Analysis
Confidence bounds for statistical model checking of probabilistic hybrid systems
FORMATS'12 Proceedings of the 10th international conference on Formal Modeling and Analysis of Timed Systems
ISoLA'12 Proceedings of the 5th international conference on Leveraging Applications of Formal Methods, Verification and Validation: applications and case studies - Volume Part II
SAS'12 Proceedings of the 19th international conference on Static Analysis
Implicative simultaneous satisfiability and applications
HVC'11 Proceedings of the 7th international Haifa Verification conference on Hardware and Software: verification and testing
Predicting serializability violations: SMT-Based search vs. DPOR-Based search
HVC'11 Proceedings of the 7th international Haifa Verification conference on Hardware and Software: verification and testing
SAT-based model checking: interpolation, IC3 and beyond
TACAS'13 Proceedings of the 19th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Asynchronous multi-core incremental SAT solving
TACAS'13 Proceedings of the 19th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Second competition on software verification
TACAS'13 Proceedings of the 19th international conference on Tools and Algorithms for the Construction and Analysis of Systems
SAT: Based bounded strong satisfiability checking of reactive system specifications
ICT-EurAsia'13 Proceedings of the 2013 international conference on Information and Communication Technology
GLA: gate-level abstraction revisited
Proceedings of the Conference on Design, Automation and Test in Europe
Core minimization in SAT-based abstraction
Proceedings of the Conference on Design, Automation and Test in Europe
A counterexample-guided interpolant generation algorithm for SAT-based model checking
Proceedings of the 50th Annual Design Automation Conference
State of the art: Dynamic symbolic execution for automated test generation
Future Generation Computer Systems
Bounded satisfiability checking of metric temporal logic specifications
ACM Transactions on Software Engineering and Methodology (TOSEM) - In memoriam, fault detection and localization, formal methods, modeling and design
Formal safety proof: a real case study in a railway interlocking system
Proceedings of the 2013 International Symposium on Software Testing and Analysis
A guiding coverage metric for formal verification
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Verification of partial designs using incremental QBF solving
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Software model synthesis using satisfiability solvers
Empirical Software Engineering
A survey on temporal logics for specifying and verifying real-time systems
Frontiers of Computer Science: Selected Publications from Chinese Universities
Generating non-linear interpolants by semidefinite programming
CAV'13 Proceedings of the 25th international conference on Computer Aided Verification
PCF: a portable circuit format for scalable two-party secure computation
SEC'13 Proceedings of the 22nd USENIX conference on Security
Behavioral diagnosis of LTL specifications at operator level
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Time-aware relational abstractions for hybrid systems
Proceedings of the Eleventh ACM International Conference on Embedded Software
Observations on formal safety analysis in practice
Science of Computer Programming
Lower-bound-constrained runs in weighted timed automata
Performance Evaluation
A symbolic model checking approach to verifying satellite onboard software
Science of Computer Programming
Autonomous Agents and Multi-Agent Systems
LLVMVF: A Generic Approach for Verification of Multicore Software
Journal of Electronic Testing: Theory and Applications
Hi-index | 0.00 |
Symbolic Model Checking [3, 14] has proven to be a powerful technique for the verification of reactive systems. BDDs [2] have traditionally been used as a symbolic representation of the system. In this paper we show how boolean decision procedures, like Stålmarck's Method [16] or the Davis & Putnam Procedure [7], can replace BDDs. This new technique avoids the space blow up of BDDs, generates counterexamples much faster, and sometimes speeds up the verification. In addition, it produces counterexamples of minimal length. We introduce a bounded model checking procedure for LTL which reduces model checking to propositional satisfiability. We show that bounded LTL model checking can be done without a tableau construction. We have implemented a model checker BMC, based on bounded model checking, and preliminary results are presented.