Improvements to propositional satisfiability search algorithms
Improvements to propositional satisfiability search algorithms
GRASP: A Search Algorithm for Propositional Satisfiability
IEEE Transactions on Computers
Proceedings of the 38th annual Design Automation Conference
Reasoning in Boolean Networks: Logic Synthesis and Verification Using Testing Techniques
Reasoning in Boolean Networks: Logic Synthesis and Verification Using Testing Techniques
SATO: An Efficient Propositional Prover
CADE-14 Proceedings of the 14th International Conference on Automated Deduction
Using CSP look-back techniques to solve real-world SAT instances
AAAI'97/IAAI'97 Proceedings of the fourteenth national conference on artificial intelligence and ninth conference on Innovative applications of artificial intelligence
Evidence for invariants in local search
AAAI'97/IAAI'97 Proceedings of the fourteenth national conference on artificial intelligence and ninth conference on Innovative applications of artificial intelligence
Combinational test generation using satisfiability
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Proceedings of the 38th annual Design Automation Conference
Dynamic detection and removal of inactive clauses in SAT with application in image computation
Proceedings of the 38th annual Design Automation Conference
Accelerating boolean satisfiability through application specific processing
Proceedings of the 14th international symposium on Systems synthesis
POPL '02 Proceedings of the 29th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
sub-SAT: a formulation for relaxed boolean satisfiability with applications in routing
Proceedings of the 2002 international symposium on Physical design
Can BDDs compete with SAT solvers on bounded model checking?
Proceedings of the 39th annual Design Automation Conference
A proof engine approach to solving combinational design automation problems
Proceedings of the 39th annual Design Automation Conference
Solving difficult SAT instances in the presence of symmetry
Proceedings of the 39th annual Design Automation Conference
Satometer:: how much have we searched?
Proceedings of the 39th annual Design Automation Conference
SAT with partial clauses and back-leaps
Proceedings of the 39th annual Design Automation Conference
Combining strengths of circuit-based and CNF-based algorithms for a high-performance SAT solver
Proceedings of the 39th annual Design Automation Conference
Effective safety property checking using simulation-based sequential ATPG
Proceedings of the 39th annual Design Automation Conference
Boolean satisfiability with transitivity constraints
ACM Transactions on Computational Logic (TOCL)
SAT and ATPG: algorithms for Boolean decision problems
Logic Synthesis and Verification
The future of logic synthesis and verification
Logic Synthesis and Verification
IEEE Transactions on Computers
An Overview of Backtrack Search Satisfiability Algorithms
Annals of Mathematics and Artificial Intelligence
Efficient conflict driven learning in a boolean satisfiability solver
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Partition-based decision heuristics for image computation using SAT and BDDs
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Faster SAT and smaller BDDs via common function structure
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Bounded model checking for the universal fragment of CTL
Fundamenta Informaticae
Towards Provably Complete Stochastic Search Algorithms for Satisfiability
EPIA '01 Proceedings of the10th Portuguese Conference on Artificial Intelligence on Progress in Artificial Intelligence, Knowledge Extraction, Multi-agent Systems, Logic Programming and Constraint Solving
Automatic SAT-Compilation of Protocol Insecurity Problems via Reduction to Planning
FORTE '02 Proceedings of the 22nd IFIP WG 6.1 International Conference Houston on Formal Techniques for Networked and Distributed Systems
Simplifying Circuits for Formal Verification Using Parametric Representation
FMCAD '02 Proceedings of the 4th International Conference on Formal Methods in Computer-Aided Design
QUBOS: Deciding Quantified Boolean Logic Using Propositional Satisfiability Solvers
FMCAD '02 Proceedings of the 4th International Conference on Formal Methods in Computer-Aided Design
FMCAD '02 Proceedings of the 4th International Conference on Formal Methods in Computer-Aided Design
Modeling and Verification of Out-of-Order Microprocessors in UCLID
FMCAD '02 Proceedings of the 4th International Conference on Formal Methods in Computer-Aided Design
On Solving Presburger and Linear Arithmetic with SAT
FMCAD '02 Proceedings of the 4th International Conference on Formal Methods in Computer-Aided Design
A Fixpoint Based Encoding for Bounded Model Checking
FMCAD '02 Proceedings of the 4th International Conference on Formal Methods in Computer-Aided Design
A DPLL-Based Calculus for Ground Satisfiability Modulo Theories
JELIA '02 Proceedings of the European Conference on Logics in Artificial Intelligence
A Compressed Breadth-First Search for Satisfiability
ALENEX '02 Revised Papers from the 4th International Workshop on Algorithm Engineering and Experiments
Integrating BDD-Based and SAT-Based Symbolic Model Checking
FroCoS '02 Proceedings of the 4th International Workshop on Frontiers of Combining Systems
Verification of Timed Automata via Satisfiability Checking
FTRTFT '02 Proceedings of the 7th International Symposium on Formal Techniques in Real-Time and Fault-Tolerant Systems: Co-sponsored by IFIP WG 2.2
Towards Bounded Model Checking for the Universal Fragment of TCTL
FTRTFT '02 Proceedings of the 7th International Symposium on Formal Techniques in Real-Time and Fault-Tolerant Systems: Co-sponsored by IFIP WG 2.2
Formal Verification Methods for Industrial Hardware Design
SOFSEM '01 Proceedings of the 28th Conference on Current Trends in Theory and Practice of Informatics Piestany: Theory and Practice of Informatics
Robust SAT-Based Search Algorithm for Leakage Power Reduction
PATMOS '02 Proceedings of the 12th International Workshop on Integrated Circuit Design. Power and Timing Modeling, Optimization and Simulation
Two-Literal Logic Programs and Satisfiability Representation of Stable Models: A Comparison
AI '02 Proceedings of the 15th Conference of the Canadian Society for Computational Studies of Intelligence on Advances in Artificial Intelligence
Formal Models of Heavy-Tailed Behavior in Combinatorial Search
CP '01 Proceedings of the 7th International Conference on Principles and Practice of Constraint Programming
CP '02 Proceedings of the 8th International Conference on Principles and Practice of Constraint Programming
Towards a Symmetric Treatment of Satisfaction and Conflicts in Quantified Boolean Formula Evaluation
CP '02 Proceedings of the 8th International Conference on Principles and Practice of Constraint Programming
Restart Policies with Dependence among Runs: A Dynamic Programming Approach
CP '02 Proceedings of the 8th International Conference on Principles and Practice of Constraint Programming
FME '02 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods - Getting IT Right
CAV '01 Proceedings of the 13th International Conference on Computer Aided Verification
The AVISS Security Protocol Analysis Tool
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
CVC: A Cooperating Validity Checker
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
Checking Satisfiability of First-Order Formulas by Incremental Translation to SAT
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
Applying SAT Methods in Unbounded Symbolic Model Checking
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
SAT Based Abstraction-Refinement Using ILP and Machine Learning Techniques
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
NuSMV 2: An OpenSource Tool for Symbolic Model Checking
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
Semi-formal Bounded Model Checking
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
The Quest for Efficient Boolean Satisfiability Solvers
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
Deciding Separation Formulas with SAT
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
FORCE: a fast and easy-to-implement variable-ordering heuristic
Proceedings of the 13th ACM Great Lakes symposium on VLSI
Mixing ATPG and property checking for testing HW/SW interfaces
Proceedings of the 13th ACM Great Lakes symposium on VLSI
Journal of Symbolic Computation
Conflict driven learning in a quantified Boolean Satisfiability solver
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
Generic ILP versus specialized 0-1 ILP: an update
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
SAT and ATPG: Boolean engines for formal hardware verification
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
Behavioral consistency of C and verilog programs using bounded model checking
Proceedings of the 40th annual Design Automation Conference
A signal correlation guided ATPG solver and its applications for solving difficult industrial cases
Proceedings of the 40th annual Design Automation Conference
Checking satisfiability of a conjunction of BDDs
Proceedings of the 40th annual Design Automation Conference
Learning from BDDs in SAT-based bounded model checking
Proceedings of the 40th annual Design Automation Conference
A fast pseudo-boolean constraint solver
Proceedings of the 40th annual Design Automation Conference
Shatter: efficient symmetry-breaking for boolean satisfiability
Proceedings of the 40th annual Design Automation Conference
SAT-based unbounded symbolic model checking
Proceedings of the 40th annual Design Automation Conference
Temporofunctional crosstalk noise analysis
Proceedings of the 40th annual Design Automation Conference
Modular verification of software components in C
Proceedings of the 25th International Conference on Software Engineering
ASSAT: computing answer sets of a logic program by SAT solvers
Eighteenth national conference on Artificial intelligence
Enhancing Davis Putnam with extended binary clause reasoning
Eighteenth national conference on Artificial intelligence
Inference methods for a pseudo-boolean satisfiability solver
Eighteenth national conference on Artificial intelligence
Automated discovery of composite SAT variable-selection heuristics
Eighteenth national conference on Artificial intelligence
Learning for quantified boolean logic satisfiability
Eighteenth national conference on Artificial intelligence
Eighteenth national conference on Artificial intelligence
First-order logic Davis-Putnam-Logemann-Loveland procedure
Exploring artificial intelligence in the new millennium
RTL-Datapath Verification using Integer Linear Programming
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
Formal Verification Using Bounded Model Checking: SAT versus Sequential ATPG Engines
VLSID '03 Proceedings of the 16th International Conference on VLSI Design
Verifying epistemic properties of multi-agent systems via bounded model checking
AAMAS '03 Proceedings of the second international joint conference on Autonomous agents and multiagent systems
SystemC
Verifying epistemic properties of multi-agent systems via bounded model checking
Fundamenta Informaticae - Concurrency specification and programming
Checking reachability properties for timed automata via SAT
Fundamenta Informaticae - Concurrency specification and programming
Solving Satisfiability in Combinational Circuits
IEEE Design & Test
Board-level multiterminal net assignment for the partial cross-bar architecture
IEEE Transactions on Very Large Scale Integration (VLSI) Systems - Special section on the 2001 international conference on computer design (ICCD)
Balancing performance and flexibility with hardware support for network architectures
ACM Transactions on Computer Systems (TOCS)
Worst-case study of local search for MAX-k-SAT
Discrete Applied Mathematics - The renesse issue on satisfiability
Equivalent literal propagation in the DLL procedure
Discrete Applied Mathematics - The renesse issue on satisfiability
SAT problems with chains of dependent variables
Discrete Applied Mathematics - The renesse issue on satisfiability
The CADE-18 ATP System Competition
Journal of Automated Reasoning
Formal methods for the validation of automotive product configuration data
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Accelerating Bounded Model Checking of Safety Properties
Formal Methods in System Design
Journal of Systems Architecture: the EUROMICRO Journal - Special issue: Reconfigurable systems
Arithmetic Reasoning in DPLL-Based SAT Solving
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Managing Don't Cares in Boolean Satisfiability
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Proceedings of the conference on Design, automation and test in Europe - Volume 1
A Novel SAT All-Solutions Solver for Efficient Preimage Computation
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Automatic Verification of Safety and Liveness for XScale-Like Processor Models Using WEB Refinements
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Breaking Instance-Independent Symmetries in Exact Graph Coloring
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Eliminating False Positives in Crosstalk Noise Analysis
Proceedings of the conference on Design, automation and test in Europe - Volume 2
Strategy for Verifying Security Protocols with Unbounded Message Size
Automated Software Engineering
A logic programming approach to knowledge-state planning: Semantics and complexity
ACM Transactions on Computational Logic (TOCL)
A parallel backtracking framework (BkFr) for single and multiple clusters
Proceedings of the 1st conference on Computing frontiers
Safety Property Verification Using Sequential SAT and Bounded Model Checking
IEEE Design & Test
Scalable Delay Fault BIST for Use with Low-Cost ATE
Journal of Electronic Testing: Theory and Applications
Bounded LTL model checking with stable models
Theory and Practice of Logic Programming
A Comparative Study of Two Boolean Formulations of FPGA Detailed Routing Constraints
IEEE Transactions on Computers
Efficient formal verification of pipelined processors with instruction queues
Proceedings of the 14th ACM Great Lakes symposium on VLSI
An efficient finite-domain constraint solver for circuits
Proceedings of the 41st annual Design Automation Conference
A SAT-based algorithm for reparameterization in symbolic simulation
Proceedings of the 41st annual Design Automation Conference
Exploiting structure in symmetry detection for CNF
Proceedings of the 41st annual Design Automation Conference
Refining the SAT decision ordering for bounded model checking
Proceedings of the 41st annual Design Automation Conference
Jedd: a BDD-based relational extension of Java
Proceedings of the ACM SIGPLAN 2004 conference on Programming language design and implementation
Using Word-Level Information in Formal Hardware Verification
Automation and Remote Control
Modular Verification of Software Components in C
IEEE Transactions on Software Engineering
Generating Tests from Counterexamples
Proceedings of the 26th International Conference on Software Engineering
Software assurance by bounded exhaustive testing
ISSTA '04 Proceedings of the 2004 ACM SIGSOFT international symposium on Software testing and analysis
Faster constraint solving with subtypes
ISSTA '04 Proceedings of the 2004 ACM SIGSOFT international symposium on Software testing and analysis
SATORI - A Fast Sequential SAT Engine for Circuits
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
CAMA: A Multi-Valued Satisfiability Solver
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Improving Ariadne's Bundle by Following Multiple Threads in Abstraction Refinement
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Iterative Abstraction using SAT-based BMC with Proof Analysis
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Multi-Domain Clock Skew Scheduling
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
High speed layout synthesis for minimum-width CMOS logic cells via Boolean satisfiability
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Design diagnosis using Boolean satisfiability
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Efficient translation of boolean formulas to CNF in formal verification of microprocessors
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Efficient reachability checking using sequential SAT
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Exploiting state encoding for invariant generation in induction-based property checking
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Improving simulation-based verification by means of formal methods
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Satisfiability and integer programming as complementary tools
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
ShatterPB: symmetry-breaking for pseudo-Boolean formulas
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Predicate Abstraction of ANSI-C Programs Using SAT
Formal Methods in System Design
ASSAT: computing answer sets of a logic program by SAT solvers
Artificial Intelligence - Special issue on nonmonotonic reasoning
Local Search for Boolean Relations on the Basis of Unit Propagation
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Verification of Proofs of Unsatisfiability for CNF Formulas
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
A Circuit SAT Solver With Signal Correlation Guided Learning
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Improving SAT-Based Bounded Model Checking by Means of BDD-Based Approximate Traversals
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Using RTL Statespace Information and State Encoding for Induction Based Property Checking
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Reconfigurable Hardware SAT Solvers: A Survey of Systems
IEEE Transactions on Computers
Inferring Specifications to Detect Errors in Code
Proceedings of the 19th IEEE international conference on Automated software engineering
A software/reconfigurable hardware SAT solver
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Segmented channel routability via satisfiability
ACM Transactions on Design Automation of Electronic Systems (TODAES)
On SAT Instance Classes and a Method for Reliable Performance Experiments with SAT Solvers
Annals of Mathematics and Artificial Intelligence
Testing Satisfiability of CNF Formulas by Computing a Stable Set of Points
Annals of Mathematics and Artificial Intelligence
UnitWalk: A New SAT Solver that Uses Local Search Guided by Unit Clause Elimination
Annals of Mathematics and Artificial Intelligence
Annals of Mathematics and Artificial Intelligence
Efficient Data Structures for Backtrack Search SAT Solvers
Annals of Mathematics and Artificial Intelligence
A SAT Approach to Query Optimization in Mediator Systems
Annals of Mathematics and Artificial Intelligence
A Parsimony Tree for the SAT2002 Competition
Annals of Mathematics and Artificial Intelligence
Toward Leaner Binary-Clause Reasoning in a Satisfiability Solver
Annals of Mathematics and Artificial Intelligence
On Deciding Subsumption Problems
Annals of Mathematics and Artificial Intelligence
Scalable error detection using boolean satisfiability
Proceedings of the 32nd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
SAT-Based Complete Don't-Care Computation for Network Optimization
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Effective Lower Bounding Techniques for Pseudo-Boolean Optimization
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
Efficient Conflict-Based Learning in an RTL Circuit Constraint Solver
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
A Faster Counterexample Minimization Algorithm Based on Refutation Analysis
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
Pueblo: A Modern Pseudo-Boolean SAT Solver
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
An Efficient Sequential SAT Solver With Improved Search Strategies
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
Considering Circuit Observability Don't Cares in CNF Satisfiability
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
GridSAT: A Chaff-based Distributed SAT Solver for the Grid
Proceedings of the 2003 ACM/IEEE conference on Supercomputing
Exact minimum-width transistor placement without dual constraint for CMOS cells
GLSVLSI '05 Proceedings of the 15th ACM Great Lakes symposium on VLSI
An effective and efficient ATPG-based combinational equivalence checker
GLSVLSI '05 Proceedings of the 15th ACM Great Lakes symposium on VLSI
Utilizing don't care states in SAT-based bounded sequential problems
GLSVLSI '05 Proceedings of the 15th ACM Great Lakes symposium on VLSI
IEEE Intelligent Systems
Resolution cannot polynomially simulate compressed-BFS
Annals of Mathematics and Artificial Intelligence
A Parallelization Scheme Based on Work Stealing for a Class of SAT Solvers
Journal of Automated Reasoning
Automatic discovery of API-level exploits
Proceedings of the 27th international conference on Software engineering
DynAlloy: upgrading alloy with actions
Proceedings of the 27th international conference on Software engineering
FPGA technology mapping: a study of optimality
Proceedings of the 42nd annual Design Automation Conference
Word level predicate abstraction and refinement for verifying RTL verilog
Proceedings of the 42nd annual Design Automation Conference
Beyond safety: customized SAT-based model checking
Proceedings of the 42nd annual Design Automation Conference
Efficient SAT solving: beyond supercubes
Proceedings of the 42nd annual Design Automation Conference
Simplify: a theorem prover for program checking
Journal of the ACM (JACM)
Software Assurance by Bounded Exhaustive Testing
IEEE Transactions on Software Engineering
Automatic type inference via partial evaluation
PPDP '05 Proceedings of the 7th ACM SIGPLAN international conference on Principles and practice of declarative programming
Lemma Exchange in a Microcontroller Based Parallel SAT Solver
ISVLSI '05 Proceedings of the IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design
PASSAT: Efficient SAT-Based Test Pattern Generation for Industrial Circuits
ISVLSI '05 Proceedings of the IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design
MaxSolver: an efficient exact algorithm for (weighted) maximum satisfiability
Artificial Intelligence
The CADE-19 ATP system competition
AI Communications
Logic programming with infinite sets
Annals of Mathematics and Artificial Intelligence
ConSUS: a light-weight program conditioner
Journal of Systems and Software - Special issue: Software reverse engineering
Exploiting Vanishing Polynomials for Equivalence Veri.cation of Fixed-Size Arithmetic Datapaths
ICCD '05 Proceedings of the 2005 International Conference on Computer Design
Model Checking C Programs Using F-SOFT
ICCD '05 Proceedings of the 2005 International Conference on Computer Design
Case Study of ATPG-based Bounded Model Checking: Verifying USB2.0 IP Core
ICCD '05 Proceedings of the 2005 International Conference on Computer Design
Reconsidering CEGAR: Learning Good Abstractions without Refinement
ICCD '05 Proceedings of the 2005 International Conference on Computer Design
Merged processes: a new condensed representation of Petri net behaviour
CONCUR 2005 - Concurrency Theory
yagg: an easy-to-use generator for structured test inputs
Proceedings of the 20th IEEE/ACM international Conference on Automated software engineering
Equivalence checking between behavioral and RTL descriptions with virtual controllers and datapaths
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Functional test generation based on word-level SAT
Journal of Systems Architecture: the EUROMICRO Journal
Verifying properties of well-founded linked lists
Conference record of the 33rd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Dynamic transition relation simplification for bounded property checking
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Checking consistency of C and Verilog using predicate abstraction and induction
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Debugging sequential circuits using Boolean satisfiability
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Guiding CNF-SAT search via efficient constraint partitioning
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Incremental deductive & inductive reasoning for SAT-based bounded model checking
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Efficient SAT-based unbounded symbolic model checking using circuit cofactoring
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Efficient computation of small abstraction refinements
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
FPgen - a test generation framework for datapath floating-point verification
HLDVT '03 Proceedings of the Eighth IEEE International Workshop on High-Level Design Validation and Test Workshop
Integrating CNF and BDD based SAT solvers
HLDVT '03 Proceedings of the Eighth IEEE International Workshop on High-Level Design Validation and Test Workshop
Logic transformation and coding theory-based frameworks for Boolean satisfiability
HLDVT '03 Proceedings of the Eighth IEEE International Workshop on High-Level Design Validation and Test Workshop
Enhancing SAT-based equivalence checking with static logic implications
HLDVT '03 Proceedings of the Eighth IEEE International Workshop on High-Level Design Validation and Test Workshop
A comparison of BDDs, BMC, and sequential SAT for model checking
HLDVT '03 Proceedings of the Eighth IEEE International Workshop on High-Level Design Validation and Test Workshop
Generation of shorter sequences for high resolution error diagnosis using sequential SAT
ASP-DAC '06 Proceedings of the 2006 Asia and South Pacific Design Automation Conference
Predicate-calculus-based logics for modeling and solving search problems
ACM Transactions on Computational Logic (TOCL)
SAT-based sequential depth computation
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
Hardware verification using ANSI-C programs as a reference
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
Constraint extraction for pseudo-functional scan-based delay testing
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Comparison of schemes for encoding unobservability in translation to SAT
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
MUP: a minimal unsatisfiability prover
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Integration of supercubing and learning in a SAT solver
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Dynamic symmetry-breaking for improved Boolean optimization
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
A fast counterexample minimization approach with refutation analysis and incremental SAT
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
An interpolating theorem prover
Theoretical Computer Science - Tools and algorithms for the construction and analysis of systems (TACAS 2004)
Using Abstraction for Efficient Formal Verification of Pipelined Processors with Value Prediction
ISQED '06 Proceedings of the 7th International Symposium on Quality Electronic Design
Equivalence Checking of C Programs by Locally Performing Symbolic Simulation on Dependence Graphs
ISQED '06 Proceedings of the 7th International Symposium on Quality Electronic Design
Computational methods for database repair by signed formulae*
Annals of Mathematics and Artificial Intelligence
NP-completeness for all computer science undergraduates: a novel project-based curriculum
Journal of Computing Sciences in Colleges
HW/SW co-verification of embedded systems using bounded model checking
GLSVLSI '06 Proceedings of the 16th ACM Great Lakes symposium on VLSI
Test generation using SAT-based bounded model checking for validation of pipelined processors
GLSVLSI '06 Proceedings of the 16th ACM Great Lakes symposium on VLSI
An exact algorithm for the maximal sharing of partial terms in multiple constant multiplications
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
RTL SAT simplification by Boolean and interval arithmetic reasoning
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Post-verification debugging of hierarchical designs
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
SAT based solutions for consistency problems in formal property specifications for open systems
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Embedded tutorial: formal equivalence checking between system-level models and RTL
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Transition-by-transition FSM traversal for reachability analysis in bounded model checking
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Efficient Symmetry Breaking for Boolean Satisfiability
IEEE Transactions on Computers
Strong conflict analysis for propositional satisfiability
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Equivalence verification of arithmetic datapaths with multiple word-length operands
Proceedings of the conference on Design, automation and test in Europe: Proceedings
On the relation between simulation-based and SAT-based diagnosis
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Exact Max-SAT solvers for over-constrained problems
Journal of Heuristics
Automatic Test Pattern Generation for Resistive Bridging Faults
Journal of Electronic Testing: Theory and Applications
Symbolic model checking of declarative relational models
Proceedings of the 28th international conference on Software engineering
Conformant planning via heuristic forward search: a new approach
Artificial Intelligence
Branching and pruning: an optimal temporal POCL planner based on constraint programming
Artificial Intelligence
Modelling and solving English Peg Solitaire
Computers and Operations Research
Predicate learning and selective theory deduction for a difference logic solver
Proceedings of the 43rd annual Design Automation Conference
Fast illegal state identification for improving SAT-based induction
Proceedings of the 43rd annual Design Automation Conference
Efficient SAT-based Boolean matching for FPGA technology mapping
Proceedings of the 43rd annual Design Automation Conference
Proceedings of the 43rd annual Design Automation Conference
Mining global constraints for improving bounded sequential equivalence checking
Proceedings of the 43rd annual Design Automation Conference
Formal verification of concurrent software: two case studies
Proceedings of the 2006 workshop on Parallel and distributed systems: testing and debugging
Automated reformulation of specifications by safe delay of constraints
Artificial Intelligence
Functional formal verification on designs of pSeries microprocessors and communication subsystems
IBM Journal of Research and Development - POWER5 and packaging
A fast SAT solver algorithm best suited to reconfigurable hardware
SBCCI '06 Proceedings of the 19th annual symposium on Integrated circuits and systems design
Logic synthesis for asynchronous circuits based on STG unfoldings and incremental SAT
Fundamenta Informaticae - Special issue on application of concurrency to system design (ACSD'04)
Taylor Expansion Diagrams: A Canonical Representation for Verification of Data Flow Designs
IEEE Transactions on Computers
A backjumping technique for disjunctive logic programming
AI Communications
Propositional satisfiability: techniques, algorithms and applications
AI Communications
Symbolic Techniques in Satisfiability Solving
Journal of Automated Reasoning
Clause Weighting Local Search for SAT
Journal of Automated Reasoning
MathSAT: Tight Integration of SAT and Mathematical Decision Procedures
Journal of Automated Reasoning
The Complexity of Pure Literal Elimination
Journal of Automated Reasoning
The SAT-based Approach to Separation Logic
Journal of Automated Reasoning
Heuristic-Based Backtracking Relaxation for Propositional Satisfiability
Journal of Automated Reasoning
Applying SAT Solving in Classification of Finite Algebras
Journal of Automated Reasoning
Automatic generation of peephole superoptimizers
Proceedings of the 12th international conference on Architectural support for programming languages and operating systems
B-Cubing: New Possibilities for Efficient SAT-Solving
IEEE Transactions on Computers
IEEE Transactions on Computers
An Optimum Algorithm for Compacting Error Traces for Efficient Design Error Debugging
IEEE Transactions on Computers
Propositional Satisfiability and Constraint Programming: A comparative survey
ACM Computing Surveys (CSUR)
Embedded software verification using symbolic execution and uninterpreted functions
International Journal of Parallel Programming
Efficient theory combination via boolean search
Information and Computation - Special issue: Combining logical systems
Answer Set Programming Based on Propositional Satisfiability
Journal of Automated Reasoning
Quasiconvex analysis of multivariate recurrence equations for backtracking algorithms
ACM Transactions on Algorithms (TALG)
Improved SAT-based Boolean matching using implicants for LUT-based FPGAs
Proceedings of the 2007 ACM/SIGDA 15th international symposium on Field programmable gate arrays
A SAT-based procedure for verifying finite state machines in ACL2
ACL2 '06 Proceedings of the sixth international workshop on the ACL2 theorem prover and its applications
The CADE-19 ATP System Competition
AI Communications
Science of Computer Programming
A competitive and cooperative approach to propositional satisfiability
Discrete Applied Mathematics - Special issue: Discrete algorithms and optimization, in honor of professor Toshihide Ibaraki at his retirement from Kyoto University
Learning action models from plan examples using weighted MAX-SAT
Artificial Intelligence
Detecting State Encoding Conflicts in STG Unfoldings Using SAT
Fundamenta Informaticae - Application of Concurrency to System Design (ACSD'03)
On unification for bounded distributive lattices
ACM Transactions on Computational Logic (TOCL)
ACM SIGMOD Record
GridSAT: a system for solving satisfiability problems using a computational grid
Parallel Computing - Optimization on grids - Optimization for grids
Evolving combinatorial problem instances that are difficult to solve
Evolutionary Computation
Reducing verification overhead with RTL slicing
Proceedings of the 17th ACM Great Lakes symposium on VLSI
Featherweight transactions: decoupling threads and atomic blocks
Proceedings of the 12th ACM SIGPLAN symposium on Principles and practice of parallel programming
Quartet-Based Phylogeny Reconstruction with Answer Set Programming
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Verification of SpecC using predicate abstraction
Formal Methods in System Design
Bounded model checking of infinite state systems
Formal Methods in System Design
Fast congruence closure and extensions
Information and Computation
Saturn: A scalable framework for error detection using Boolean satisfiability
ACM Transactions on Programming Languages and Systems (TOPLAS) - Special issue on POPL 2005
Efficient Boolean characteristic function for fast timed ATPG
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Improvements to combinational equivalence checking
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Solving the minimum-cost satisfiability problem using SAT based branch-and-bound search
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
HySAT: An efficient proof engine for bounded model checking of hybrid systems
Formal Methods in System Design
Paraconsistent reasoning and preferential entailments by signed quantified Boolean formulae
ACM Transactions on Computational Logic (TOCL)
Bounded Model Checking with Parametric Data Structures
Electronic Notes in Theoretical Computer Science (ENTCS)
Interpolant Learning and Reuse in SAT-Based Model Checking
Electronic Notes in Theoretical Computer Science (ENTCS)
Sequential Circuits for Relational Analysis
ICSE '07 Proceedings of the 29th international conference on Software Engineering
Retrieving and Matching RDF Graphs by Solving the Satisfiability Problem
WI '06 Proceedings of the 2006 IEEE/WIC/ACM International Conference on Web Intelligence
CheckFence: checking consistency of concurrent data types on relaxed memory models
Proceedings of the 2007 ACM SIGPLAN conference on Programming language design and implementation
Inferring specifications to detect errors in code
Automated Software Engineering
APPSSAT: Approximate probabilistic planning using stochastic satisfiability
International Journal of Approximate Reasoning
Tool-support for the analysis of hybrid systems and models
Proceedings of the conference on Design, automation and test in Europe
A new hybrid solution to boost SAT solver performance
Proceedings of the conference on Design, automation and test in Europe
QuteSAT: a robust circuit-based SAT solver for complex circuit structure
Proceedings of the conference on Design, automation and test in Europe
Maximum circuit activity estimation using pseudo-boolean satisfiability
Proceedings of the conference on Design, automation and test in Europe
Discrete Applied Mathematics
BerkMin: A fast and robust Sat-solver
Discrete Applied Mathematics
Random backtracking in backtrack search algorithms for satisfiability
Discrete Applied Mathematics
Regular-SAT: A many-valued approach to solving combinatorial problems
Discrete Applied Mathematics
SAT-based Abstraction Refinement for Real-time Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
IEEE Transactions on Computers
Distributing the Workload in a Lazy Theorem-Prover
Electronic Notes in Theoretical Computer Science (ENTCS)
Electronic Notes in Theoretical Computer Science (ENTCS)
Symmetry breaking for pseudo-Boolean formulas
Journal of Experimental Algorithmics (JEA)
Alembic: an efficient algorithm for CNF preprocessing
Proceedings of the 44th annual Design Automation Conference
EHSAT: an efficient RTL satisfiability solver using an extended DPLL procedure
Proceedings of the 44th annual Design Automation Conference
On-the-fly resolve trace minimization
Proceedings of the 44th annual Design Automation Conference
On resolution proofs for combinational equivalence
Proceedings of the 44th annual Design Automation Conference
Using SAT-based techniques in power estimation
Microelectronics Journal
Exploiting multivalued knowledge in variable selection heuristics for SAT solvers
Annals of Mathematics and Artificial Intelligence
Visualizing SAT Instances and Runs of the DPLL Algorithm
Journal of Automated Reasoning
Proceedings of the the 6th joint meeting of the European software engineering conference and the ACM SIGSOFT symposium on The foundations of software engineering
A specification-based approach to testing software product lines
Proceedings of the the 6th joint meeting of the European software engineering conference and the ACM SIGSOFT symposium on The foundations of software engineering
ARMS: an automatic knowledge engineering tool for learning action models for AI planning
The Knowledge Engineering Review
A specification-based approach to testing software product lines
The 6th Joint Meeting on European software engineering conference and the ACM SIGSOFT symposium on the foundations of software engineering: companion papers
Boosting interpolation with dynamic localized abstraction and redundancy removal
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Solution and Optimization of Systems of Pseudo-Boolean Constraints
IEEE Transactions on Computers
Efficient Analysis of DynAlloy Specifications
ACM Transactions on Software Engineering and Methodology (TOSEM)
Design automation of real-life asynchronous devices and systems
Foundations and Trends in Electronic Design Automation
Sequential circuits for program analysis
Proceedings of the twenty-second IEEE/ACM international conference on Automated software engineering
An automated approach to monitoring and diagnosing requirements
Proceedings of the twenty-second IEEE/ACM international conference on Automated software engineering
Fast exact Toffoli network synthesis of reversible logic
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Exploiting hierarchy and structure to efficiently solve graph coloring as SAT
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Scalable exploration of functional dependency by interpolation and incremental SAT solving
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Incremental learning approach and SAT model for Boolean matching with don't cares
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Stimulus generation for constrained random simulation
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Computation of minimal counterexamples by using black box techniques and symbolic methods
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Another look at graph coloring via propositional satisfiability
Discrete Applied Mathematics
The model evolution calculus as a first-order DPLL method
Artificial Intelligence
SAT-based ATPG using multilevel compatible don't-cares
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Formal methods for the analysis and synthesis of nanometer-scale cellular arrays
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Optimizing non-monotonic interconnect using functional simulation and logic restructuring
Proceedings of the 2008 international symposium on Physical design
A Methodology for Handling Complex Functional Constraints for Large Industrial Designs
Journal of Electronic Testing: Theory and Applications
Automatic Construction and Verification of Isotopy Invariants
Journal of Automated Reasoning
On look-ahead heuristics in disjunctive logic programming
Annals of Mathematics and Artificial Intelligence
Pessimism reduction in coupling-aware static timing analysis using timing and logic filtering
Proceedings of the 2008 Asia and South Pacific Design Automation Conference
Efficient symbolic multi-objective design space exploration
Proceedings of the 2008 Asia and South Pacific Design Automation Conference
Bounded list injective homomorphism for comparative analysis of protein-protein interaction graphs
Journal of Discrete Algorithms
Search in the patience game ‘Black Hole’
AI Communications - Constraint Programming for Planning and Scheduling
Model Checking Abstract State Machines with Answer Set Programming
Fundamenta Informaticae - This is a SPECIAL ISSUE ON ASM'05
Using unsatisfiable cores to debug multiple design errors
Proceedings of the 18th ACM Great Lakes symposium on VLSI
SAT-based equivalence checking of threshold logic designs for nanotechnologies
Proceedings of the 18th ACM Great Lakes symposium on VLSI
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Relations as an abstraction for BDD-based program analysis
ACM Transactions on Programming Languages and Systems (TOPLAS)
On Composite Leakage Current Maximization
Journal of Electronic Testing: Theory and Applications
Dynamic inference of likely data preconditions over predicates by tree learning
ISSTA '08 Proceedings of the 2008 international symposium on Software testing and analysis
A practical reconfigurable hardware accelerator for Boolean satisfiability solvers
Proceedings of the 45th annual Design Automation Conference
Quantified synthesis of reversible logic
Proceedings of the conference on Design, automation and test in Europe
Comparison of Boolean satisfiability encodings on FPGA detailed routing problems
Proceedings of the conference on Design, automation and test in Europe
Model checking with Boolean Satisfiability
Journal of Algorithms
SAT graph-based representation: A new perspective
Journal of Algorithms
Challenges: automated design of networking protocols
Proceedings of the 14th ACM international conference on Mobile computing and networking
Theoretical Computer Science
Efficient SAT-based bounded model checking for software verification
Theoretical Computer Science
Modelling and solving temporal reasoning as propositional satisfiability
Artificial Intelligence
Decision Procedures for the Grand Challenge
Verified Software: Theories, Tools, Experiments
AIMSS: An Architecture for Data Driven Simulations in the Social Sciences
ICCS '07 Proceedings of the 7th international conference on Computational Science, Part I: ICCS 2007
Eliminating Redundant Clauses in SAT Instances
CPAIOR '07 Proceedings of the 4th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
On Boolean Functions Encodable as a Single Linear Pseudo-Boolean Constraint
CPAIOR '07 Proceedings of the 4th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Bounded Model Checking with Description Logic Reasoning
TABLEAUX '07 Proceedings of the 16th international conference on Automated Reasoning with Analytic Tableaux and Related Methods
Architecting Solvers for SAT Modulo Theories: Nelson-Oppen with DPLL
FroCoS '07 Proceedings of the 6th international symposium on Frontiers of Combining Systems
A Compressing Translation from Propositional Resolution to Natural Deduction
FroCoS '07 Proceedings of the 6th international symposium on Frontiers of Combining Systems
A View from the Engine Room: Computational Support for Symbolic Model Checking
25 Years of Model Checking
Getting Formal Verification into Design Flow
FM '08 Proceedings of the 15th international symposium on Formal Methods
Constraint Prioritization for Efficient Analysis of Declarative Models
FM '08 Proceedings of the 15th international symposium on Formal Methods
Theorem Proving for Verification (Invited Tutorial)
CAV '08 Proceedings of the 20th international conference on Computer Aided Verification
CAV '08 Proceedings of the 20th international conference on Computer Aided Verification
Analyzing Context-Free Grammars Using an Incremental SAT Solver
ICALP '08 Proceedings of the 35th international colloquium on Automata, Languages and Programming, Part II
A Study of SAT-Based Branching Heuristics for the CSP
SETN '08 Proceedings of the 5th Hellenic conference on Artificial Intelligence: Theories, Models and Applications
Using Dynamic Symbolic Execution to Improve Deductive Verification
SPIN '08 Proceedings of the 15th international workshop on Model Checking Software
Benchmarking Model- and Satisfiability-Checking on Bi-infinite Time
Proceedings of the 5th international colloquium on Theoretical Aspects of Computing
Bounded Model Checking for Partial Kripke Structures
Proceedings of the 5th international colloquium on Theoretical Aspects of Computing
Experimenting with Small Changes in Conflict-Driven Clause Learning Algorithms
CP '08 Proceedings of the 14th international conference on Principles and Practice of Constraint Programming
Incorporating Learning in Grid-Based Randomized SAT Solving
AIMSA '08 Proceedings of the 13th international conference on Artificial Intelligence: Methodology, Systems, and Applications
Efficient constraint propagation engines
ACM Transactions on Programming Languages and Systems (TOPLAS)
New results on rewrite-based satisfiability procedures
ACM Transactions on Computational Logic (TOCL)
LCF-Style Propositional Simplification with BDDs and SAT Solvers
TPHOLs '08 Proceedings of the 21st International Conference on Theorem Proving in Higher Order Logics
Revising Specifications with CTL Properties Using Bounded Model Checking
SBIA '08 Proceedings of the 19th Brazilian Symposium on Artificial Intelligence: Advances in Artificial Intelligence
Efficient Generation of Unsatisfiability Proofs and Cores in SAT
LPAR '08 Proceedings of the 15th International Conference on Logic for Programming, Artificial Intelligence, and Reasoning
Probabilistic Nogood Store as a Heuristic
PRICAI '08 Proceedings of the 10th Pacific Rim International Conference on Artificial Intelligence: Trends in Artificial Intelligence
FPGA-based hardware acceleration for Boolean satisfiability
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Data Compression for Proof Replay
Journal of Automated Reasoning
A generative power-law search tree model
Computers and Operations Research
Learning from the Past to Dynamically Improve Search: A Case Study on the MOSP Problem
Learning and Intelligent Optimization
Monitoring and diagnosing software requirements
Automated Software Engineering
Towards automated ECOs in FPGAs
Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays
A succinct memory model for automated design debugging
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
The analysis of cyclic circuits with Boolean satisfiability
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
Dependent latch identification in the reachable state space
Proceedings of the 2009 Asia and South Pacific Design Automation Conference
Proceedings of the 2009 Asia and South Pacific Design Automation Conference
Modular verification of strongly invasive aspects: summary
Proceedings of the 2009 workshop on Foundations of aspect-oriented languages
Towards Verification of Java Programs in perICS
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
A theory-based decision heuristic for DPLL(T)
Proceedings of the 2008 International Conference on Formal Methods in Computer-Aided Design
Consistency checking of all different constraints over bit-vectors within a SAT solver
Proceedings of the 2008 International Conference on Formal Methods in Computer-Aided Design
Extended asp tableaux and rule redundancy in normal logic programs1
Theory and Practice of Logic Programming
Compiling finite linear CSP into SAT
Constraints
Message-passing and local heuristics as decimation strategies for satisfiability
Proceedings of the 2009 ACM symposium on Applied Computing
Relaxation Refinement: A New Method to Generate Heuristic Functions
Model Checking and Artificial Intelligence
Efficient Decision Procedure for Bounded Integer Non-linear Operations Using SMT($\mathcal{LIA}$)
HVC '08 Proceedings of the 4th International Haifa Verification Conference on Hardware and Software: Verification and Testing
On the relation among answer set solvers
Annals of Mathematics and Artificial Intelligence
Boolean satisfiability from theoretical hardness to practical success
Communications of the ACM - A Blind Person's Interaction with Technology
Formalization and Implementation of Modern SAT Solvers
Journal of Automated Reasoning
A decision procedure for subset constraints over regular languages
Proceedings of the 2009 ACM SIGPLAN conference on Programming language design and implementation
Functional test generation using design and property decomposition techniques
ACM Transactions on Embedded Computing Systems (TECS)
Computer-aided proofs of Arrow's and other impossibility theorems
Artificial Intelligence
Journal of Experimental & Theoretical Artificial Intelligence
Propagation via lazy clause generation
Constraints
Verification Techniques for System-Level Design
Verification Techniques for System-Level Design
Solution Enumeration for Projected Boolean Search Problems
CPAIOR '09 Proceedings of the 6th International Conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
CPAIOR '09 Proceedings of the 6th International Conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Reconfigurable Computing: The Theory and Practice of FPGA-Based Computation
Reconfigurable Computing: The Theory and Practice of FPGA-Based Computation
MINION: A Fast, Scalable, Constraint Solver
Proceedings of the 2006 conference on ECAI 2006: 17th European Conference on Artificial Intelligence August 29 -- September 1, 2006, Riva del Garda, Italy
Solving Optimization Problems with DLL
Proceedings of the 2006 conference on ECAI 2006: 17th European Conference on Artificial Intelligence August 29 -- September 1, 2006, Riva del Garda, Italy
Modular Verification of Strongly Invasive Aspects
Languages: From Formal to Natural
Hybrid BDD and All-SAT Method for Model Checking
Languages: From Formal to Natural
Mixed deterministic and probabilistic networks
Annals of Mathematics and Artificial Intelligence
HAMPI: a solver for string constraints
Proceedings of the eighteenth international symposium on Software testing and analysis
Backdoors in the Context of Learning
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Clause-Learning Algorithms with Many Restarts and Bounded-Width Resolution
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Boundary Points and Resolution
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Dynamic Symmetry Breaking by Simulating Zykov Contraction
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Extending SAT Solvers to Cryptographic Problems
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Building a Hybrid SAT Solver via Conflict-Driven, Look-Ahead and XOR Reasoning Techniques
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Restart Strategy Selection Using Machine Learning Techniques
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Instance-Based Selection of Policies for SAT Solvers
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Width-Based Restart Policies for Clause-Learning Satisfiability Solvers
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Problem-Sensitive Restart Heuristics for the DPLL Procedure
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
WoLFram- A Word Level Framework for Formal Verification
RSP '09 Proceedings of the 2009 IEEE/IFIP International Symposium on Rapid System Prototyping
Automated deduction for verification
ACM Computing Surveys (CSUR)
ACM Computing Surveys (CSUR)
SAT-based answer set programming
AAAI'04 Proceedings of the 19th national conference on Artifical intelligence
The backdoor key: a path to understanding problem hardness
AAAI'04 Proceedings of the 19th national conference on Artifical intelligence
Modeling choices in quasigroup completion: SAT vs. CSP
AAAI'04 Proceedings of the 19th national conference on Artifical intelligence
Complete local search for propositional satisfiability
AAAI'04 Proceedings of the 19th national conference on Artifical intelligence
Finding redundant constraints in FSM minimization
AAAI'04 Proceedings of the 19th national conference on Artifical intelligence
Deconstructing planning as satisfiability
AAAI'06 proceedings of the 21st national conference on Artificial intelligence - Volume 2
The impact of balancing on problem hardness in a highly structured domain
AAAI'06 Proceedings of the 21st national conference on Artificial intelligence - Volume 1
Fast SAT-based answer set solver
AAAI'06 Proceedings of the 21st national conference on Artificial intelligence - Volume 1
Temporal preference optimization as weighted constraint satisfaction
AAAI'06 Proceedings of the 21st national conference on Artificial intelligence - Volume 1
Solving QBF with combined conjunctive and disjunctive normal form
AAAI'06 Proceedings of the 21st national conference on Artificial intelligence - Volume 1
Memory-efficient inference in relational domains
AAAI'06 Proceedings of the 21st national conference on Artificial intelligence - Volume 1
Learning partially observable action models: efficient algorithms
AAAI'06 Proceedings of the 21st national conference on Artificial intelligence - Volume 1
A declarative encoding of telecommunications feature subscription in SAT
PPDP '09 Proceedings of the 11th ACM SIGPLAN conference on Principles and practice of declarative programming
On the Implementation of Weight Constraint Rules in Conflict-Driven ASP Solvers
ICLP '09 Proceedings of the 25th International Conference on Logic Programming
AND/OR Branch-and-Bound search for combinatorial optimization in graphical models
Artificial Intelligence
Memory intensive AND/OR search for combinatorial optimization in graphical models
Artificial Intelligence
Axiom Pinpointing in Lightweight Description Logics via Horn-SAT Encoding and Conflict Analysis
CADE-22 Proceedings of the 22nd International Conference on Automated Deduction
AAAI'05 Proceedings of the 20th national conference on Artificial intelligence - Volume 1
Generation of hard non-clausal random satisfiability problems
AAAI'05 Proceedings of the 20th national conference on Artificial intelligence - Volume 1
SymChaff: a structure-aware satisfiability solver
AAAI'05 Proceedings of the 20th national conference on Artificial intelligence - Volume 1
Using more reasoning to improve #SAT solving
AAAI'07 Proceedings of the 22nd national conference on Artificial intelligence - Volume 1
AAAI'07 Proceedings of the 22nd national conference on Artificial intelligence - Volume 1
An experimental comparison of constraint logic programming and answer set programming
AAAI'07 Proceedings of the 22nd national conference on Artificial intelligence - Volume 2
Computer-aided proofs of arrow's and other impossibility theorems
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 1
Efficient memoization for dynamic programming with ad-hoc constraints
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 1
A new clause learning scheme for efficient unsatisfiability proofs
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 3
Safe composition of non-monotonic features
GPCE '09 Proceedings of the eighth international conference on Generative programming and component engineering
Answer set planning under action costs
Journal of Artificial Intelligence Research
Generalizing Boolean satisfiability I: background and survey of existing work
Journal of Artificial Intelligence Research
Towards understanding and harnessing the potential of clause learning
Journal of Artificial Intelligence Research
Generalizing Boolean satisfiability II: theory
Journal of Artificial Intelligence Research
Generalizing Boolean satisfiability III: implementation
Journal of Artificial Intelligence Research
Hiding satisfying assignments: two are better than one
Journal of Artificial Intelligence Research
Breaking instance-independent symmetries in exact graph coloring
Journal of Artificial Intelligence Research
Clause/term resolution and learning in the evaluation of quantified Boolean formulas
Journal of Artificial Intelligence Research
Journal of Artificial Intelligence Research
MINIMAXSAT: an efficient weighted max-SAT solver
Journal of Artificial Intelligence Research
Learning partially observable deterministic action models
Journal of Artificial Intelligence Research
AND/OR multi-valued decision diagrams (AOMDDs) for graphical models
Journal of Artificial Intelligence Research
Solving #SAT and Bayesian inference with backtracking search
Journal of Artificial Intelligence Research
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
A study of residual supports in arc consistency
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
Nogood recording from restarts
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
A dynamic approach to MPE and weighted MAX-SAT
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
Conflict-driven answer set solving
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
Factored planning using decomposition trees
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
GUNSAT: a greedy local search algorithm for unsatisfiability
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
The effect of restarts on the efficiency of clause learning
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
Computing bounds for fault tolerance using formal techniques
Proceedings of the 46th Annual Design Automation Conference
Simulation and SAT-based Boolean matching for large Boolean networks
Proceedings of the 46th Annual Design Automation Conference
Efficient SAT solving for non-clausal formulas using DPLL, graphs, and watched cuts
Proceedings of the 46th Annual Design Automation Conference
Efficient symmetry breaking for boolean satisfiability
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
Formal verification of diagnosability via symbolic model checking
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
Generalizing GraphPlan by formulating planning as a CSP
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
A structure-based variable ordering heuristic for SAT
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
Backdoors to typical case complexity
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
Understanding the power of clause learning
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
User control and direction of a more efficient simplifier in ACL2
Proceedings of the Eighth International Workshop on the ACL2 Theorem Prover and its Applications
Journal of Artificial Intelligence Research
DPLL with a trace: from SAT to knowledge compilation
IJCAI'05 Proceedings of the 19th international joint conference on Artificial intelligence
A covering problem for hypercubes
IJCAI'05 Proceedings of the 19th international joint conference on Artificial intelligence
Compact propositional encodings of first-order theories
IJCAI'05 Proceedings of the 19th international joint conference on Artificial intelligence
Refining Real-Time System Specifications through Bounded Model- and Satisfiability-Checking
ASE '08 Proceedings of the 2008 23rd IEEE/ACM International Conference on Automated Software Engineering
Unit Testing of Flash Memory Device Driver through a SAT-Based Model Checker
ASE '08 Proceedings of the 2008 23rd IEEE/ACM International Conference on Automated Software Engineering
SAT-Solving in Practice, with a Tutorial Example from Supervisory Control
Discrete Event Dynamic Systems
MaxSolver: An efficient exact algorithm for (weighted) maximum satisfiability
Artificial Intelligence
Branching and pruning: An optimal temporal POCL planner based on constraint programming
Artificial Intelligence
Automated reformulation of specifications by safe delay of constraints
Artificial Intelligence
SAT-based Verification for Timed Component Connectors
Electronic Notes in Theoretical Computer Science (ENTCS)
Efficient Boolean characteristic function for timed automatic test pattern generation
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Exact multiple-control toffoli network synthesis with SAT techniques
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
An outlook on design technologies for future integrated systems
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Predicting learnt clauses quality in modern SAT solvers
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Control-based clause sharing in parallel SAT solving
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Dependent-latch identification in reachable state space
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Timing Arc Based Logic Analysis for false noise reduction
Proceedings of the 2009 International Conference on Computer-Aided Design
Synthesizing complementary circuits automatically
Proceedings of the 2009 International Conference on Computer-Aided Design
PN code acquisition using Boolean satisfiability techniques
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
SAT Encoding and CSP Reduction for Interconnected Alldiff Constraints
MICAI '09 Proceedings of the 8th Mexican International Conference on Artificial Intelligence
A Metric Encoding for Bounded Model Checking
FM '09 Proceedings of the 2nd World Congress on Formal Methods
An Incremental Approach to Scope-Bounded Checking Using a Lightweight Formal Method
FM '09 Proceedings of the 2nd World Congress on Formal Methods
Efficient SAT Techniques for Relative Encoding of Permutations with Constraints
AI '09 Proceedings of the 22nd Australasian Joint Conference on Advances in Artificial Intelligence
An Empirical Study of Structural Constraint Solving Techniques
ICFEM '09 Proceedings of the 11th International Conference on Formal Engineering Methods: Formal Methods and Software Engineering
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Hardware/software partitioning and pipelined scheduling on runtime reconfigurable FPGAs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Combining SAT Methods with Non-Clausal Decision Heuristics
Electronic Notes in Theoretical Computer Science (ENTCS)
Bounded Model Generation for Isabelle/HOL
Electronic Notes in Theoretical Computer Science (ENTCS)
Reducing Symmetries to Generate Easier SAT Instances
Electronic Notes in Theoretical Computer Science (ENTCS)
Making the Most of BMC Counterexamples
Electronic Notes in Theoretical Computer Science (ENTCS)
Bounded Model Checking with SNF, Alternating Automata, and Büchi Automata
Electronic Notes in Theoretical Computer Science (ENTCS)
Efficient Proof Engines for Bounded Model Checking of Hybrid Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
Program analysis via satisfiability modulo path programs
Proceedings of the 37th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Bounded Model Checking for All Regular Properties
Electronic Notes in Theoretical Computer Science (ENTCS)
Adaptive Application of SAT Solving Techniques
Electronic Notes in Theoretical Computer Science (ENTCS)
Computing Over-Approximations with Bounded Model Checking
Electronic Notes in Theoretical Computer Science (ENTCS)
Under-approximation Heuristics for Grid-based Bounded Model Checking
Electronic Notes in Theoretical Computer Science (ENTCS)
Integrating a SAT Solver with an LCF-style Theorem Prover
Electronic Notes in Theoretical Computer Science (ENTCS)
Goal-oriented requirements analysis and reasoning in the Tropos methodology
Engineering Applications of Artificial Intelligence
Improving GASAT by replacing tabu search by DLM and enhancing the best members
Artificial Intelligence Review
ACM Transactions on Computation Theory (TOCT)
On Modern Clause-Learning Satisfiability Solvers
Journal of Automated Reasoning
Relaxed survey propagation for the weighted maximum satisfiability problem
Journal of Artificial Intelligence Research
INFORMS Journal on Computing
Efficient and distributable methods for solving the multiagent plan coordination problem
Multiagent and Grid Systems - Planning in multiagent systems
Practical algorithms for unsatisfiability proof and core generation in SAT solvers
AI Communications - Practical Aspects of Automated Reasoning
Beyond soundness: on the verification of semantic business process models
Distributed and Parallel Databases
Parallel SAT solving in bounded model checking
FMICS'06/PDMC'06 Proceedings of the 11th international workshop, FMICS 2006 and 5th international workshop, PDMC conference on Formal methods: Applications and technology
Experimenting with look-back heuristics for hard ASP programs
LPNMR'07 Proceedings of the 9th international conference on Logic programming and nonmonotonic reasoning
Clasp: a conflict-driven answer set solver
LPNMR'07 Proceedings of the 9th international conference on Logic programming and nonmonotonic reasoning
CEGAR based bounded model checking of discrete time hybrid systems
HSCC'07 Proceedings of the 10th international conference on Hybrid systems: computation and control
CSCWD'06 Proceedings of the 10th international conference on Computer supported cooperative work in design III
Automatic fault localization for property checking
HVC'06 Proceedings of the 2nd international Haifa verification conference on Hardware and software, verification and testing
Checking pedigree consistency with PCS
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
Combining abstraction refinement and SAT-based model checking
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
A Gröbner basis approach to CNF-formulae preprocessing
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
Bounded reachability checking of asynchronous systems using decision diagrams
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
A hardware SAT solver using non-chronological backtracking and clause recording without overheads
ARC'07 Proceedings of the 3rd international conference on Reconfigurable computing: architectures, tools and applications
Evolutionary computing for the satisfiability problem
EvoWorkshops'03 Proceedings of the 2003 international conference on Applications of evolutionary computing
Automatic abstraction without counterexamples
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
Bounded model checking for past LTL
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
Experimental analysis of different techniques for bounded model checking
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
√erics: a tool for verifying timed automata and estelle specifications
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
An online proof-producing decision procedure for mixed-integer linear arithmetic
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
The verifying compiler: a grand challenge for computing research
CC'03 Proceedings of the 12th international conference on Compiler construction
The effect of Nogood recording in DPLL-CBJ SAT algorithms
ERCIM'02/CologNet'02 Proceedings of the 2002 Joint ERCIM/CologNet international conference on Constraint solving and constraint logic programming
A SAT characterization of boolean-program correctness
SPIN'03 Proceedings of the 10th international conference on Model checking software
Partial max-SAT solvers with clause learning
SAT'07 Proceedings of the 10th international conference on Theory and applications of satisfiability testing
MiniMaxSAT: a new weighted Max-SAT solver
SAT'07 Proceedings of the 10th international conference on Theory and applications of satisfiability testing
Solving multi-objective pseudo-boolean problems
SAT'07 Proceedings of the 10th international conference on Theory and applications of satisfiability testing
Towards a better understanding of the functionality of a conflict-driven SAT solver
SAT'07 Proceedings of the 10th international conference on Theory and applications of satisfiability testing
Verifying propositional unsatisfiability: pitfalls to avoid
SAT'07 Proceedings of the 10th international conference on Theory and applications of satisfiability testing
Inversion attacks on secure hash functions using SAT solvers
SAT'07 Proceedings of the 10th international conference on Theory and applications of satisfiability testing
A short survey of automated reasoning
AB'07 Proceedings of the 2nd international conference on Algebraic biology
A tutorial on satisfiability modulo theories
CAV'07 Proceedings of the 19th international conference on Computer aided verification
CAV'07 Proceedings of the 19th international conference on Computer aided verification
A lazy and layered SMT(BV) solver for hard industrial verification problems
CAV'07 Proceedings of the 19th international conference on Computer aided verification
Solving difficult SAT instances using greedy clique decomposition
SARA'07 Proceedings of the 7th International conference on Abstraction, reformulation, and approximation
Exploring different constraint-based modelings for program verification
CP'07 Proceedings of the 13th international conference on Principles and practice of constraint programming
Tradeoffs in the complexity of backdoor detection
CP'07 Proceedings of the 13th international conference on Principles and practice of constraint programming
Exploiting past and future: pruning by inconsistent partial state dominance
CP'07 Proceedings of the 13th international conference on Principles and practice of constraint programming
Towards robust CNF encodings of cardinality constraints
CP'07 Proceedings of the 13th international conference on Principles and practice of constraint programming
Propagation = lazy clause generation
CP'07 Proceedings of the 13th international conference on Principles and practice of constraint programming
Decomposing global grammar constraints
CP'07 Proceedings of the 13th international conference on Principles and practice of constraint programming
Structural relaxations by variable renaming and their compilation for solving MinCostSAT
CP'07 Proceedings of the 13th international conference on Principles and practice of constraint programming
The log-support encoding of CSP into SAT
CP'07 Proceedings of the 13th international conference on Principles and practice of constraint programming
CP'07 Proceedings of the 13th international conference on Principles and practice of constraint programming
Journal of Electronic Testing: Theory and Applications
Model checking with SAT-based characterization of ACTL formulas
ICFEM'07 Proceedings of the formal engineering methods 9th international conference on Formal methods and software engineering
3-valued circuit SAT for STE with automatic refinement
ATVA'07 Proceedings of the 5th international conference on Automated technology for verification and analysis
ATVA'07 Proceedings of the 5th international conference on Automated technology for verification and analysis
Integrating inductive definitions in SAT
LPAR'07 Proceedings of the 14th international conference on Logic for programming, artificial intelligence and reasoning
Challenges in satisfiability modulo theories
RTA'07 Proceedings of the 18th international conference on Term rewriting and applications
Clone: solving weighted Max-SAT in a reduced search space
AI'07 Proceedings of the 20th Australian joint conference on Advances in artificial intelligence
Time-reversal in conway's life as SAT
AI'07 Proceedings of the 20th Australian joint conference on Advances in artificial intelligence
On combining 01X-logic and QBF
EUROCAST'07 Proceedings of the 11th international conference on Computer aided systems theory
Compressing propositional proofs by common subproof extraction
EUROCAST'07 Proceedings of the 11th international conference on Computer aided systems theory
Verification of ACTL properties by bounded model checking
EUROCAST'07 Proceedings of the 11th international conference on Computer aided systems theory
Boolean satisfiability on a graphics processor
Proceedings of the 20th symposium on Great lakes symposium on VLSI
Exploiting shared structure in software verification conditions
HVC'07 Proceedings of the 3rd international Haifa verification conference on Hardware and software: verification and testing
Constraint representations and structural tractability
CP'09 Proceedings of the 15th international conference on Principles and practice of constraint programming
Lazy clause generation reengineered
CP'09 Proceedings of the 15th international conference on Principles and practice of constraint programming
An interpolation method for CLP traversal
CP'09 Proceedings of the 15th international conference on Principles and practice of constraint programming
On the power of clause-learning SAT solvers with restarts
CP'09 Proceedings of the 15th international conference on Principles and practice of constraint programming
Maintaining state in propagation solvers
CP'09 Proceedings of the 15th international conference on Principles and practice of constraint programming
Why cumulative decomposition is not as bad as it sounds
CP'09 Proceedings of the 15th international conference on Principles and practice of constraint programming
Modelling Max-CSP as partial Max-SAT
SAT'08 Proceedings of the 11th international conference on Theory and applications of satisfiability testing
A generalized framework for conflict analysis
SAT'08 Proceedings of the 11th international conference on Theory and applications of satisfiability testing
Adaptive restart strategies for conflict driven SAT solvers
SAT'08 Proceedings of the 11th international conference on Theory and applications of satisfiability testing
Designing an efficient hardware implication accelerator for SAT solving
SAT'08 Proceedings of the 11th international conference on Theory and applications of satisfiability testing
A decision-making procedure for resolution-based SAT-solvers
SAT'08 Proceedings of the 11th international conference on Theory and applications of satisfiability testing
Improvements to hybrid incremental SAT algorithms
SAT'08 Proceedings of the 11th international conference on Theory and applications of satisfiability testing
SAT(ID): satisfiability of propositional logic extended with inductive definitions
SAT'08 Proceedings of the 11th international conference on Theory and applications of satisfiability testing
A heuristic local search algorithm for unsatisfiable cores extraction
ICCSA'07 Proceedings of the 2007 international conference on Computational science and its applications - Volume Part III
Bounded delay timing analysis and power estimation using SAT
Microelectronics Journal
Formal Correctness Proof for DPLL Procedure
Informatica
Improving Coq propositional reasoning using a lazy CNF conversion scheme
FroCoS'09 Proceedings of the 7th international conference on Frontiers of combining systems
Model checking firewall policy configurations
POLICY'09 Proceedings of the 10th IEEE international conference on Policies for distributed systems and networks
Functional test generation using efficient property clustering and learning techniques
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Pessimism reduction in coupling-aware static timing analysis using timing and logic filtering
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
An architecture for adaptive algorithmic hybrids
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics - Special issue on game theory
MLeXAI: A Project-Based Application-Oriented Model
ACM Transactions on Computing Education (TOCE)
Scalable formula decomposition for propositional satisfiability
Proceedings of the Third C* Conference on Computer Science and Software Engineering
Dynamic symmetry-breaking for Boolean satisfiability
Annals of Mathematics and Artificial Intelligence
MONSOON: SAT-Based ATPG for Path Delay Faults Using Multiple-Valued Logics
Journal of Electronic Testing: Theory and Applications
On theorem proving for program checking: historical perspective and recent developments
Proceedings of the 12th international ACM SIGPLAN symposium on Principles and practice of declarative programming
BooM: a decision procedure for boolean matching with abstraction and dynamic learning
Proceedings of the 47th Design Automation Conference
Parametric quantified SAT solving
Proceedings of the 2010 International Symposium on Symbolic and Algebraic Computation
Artificial Intelligence
Solving satisfiability problems with preferences
Constraints
Incremental solving techniques for SAT-based ATPG
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
A modular CNF-based SAT solver
SBCCI '10 Proceedings of the 23rd symposium on Integrated circuits and system design
Binary translation using peephole superoptimizers
OSDI'08 Proceedings of the 8th USENIX conference on Operating systems design and implementation
Solving string constraints lazily
Proceedings of the IEEE/ACM international conference on Automated software engineering
Implementing logical connectives in constraint programming
Artificial Intelligence
Formal Methods in System Design
Software model checking without source code
Innovations in Systems and Software Engineering
Synthesizing complementary circuits automatically
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Making deduction more effective in SAT solvers
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Efficient decision ordering techniques for SAT-based test generation
Proceedings of the Conference on Design, Automation and Test in Europe
Formal verification of a modern SAT solver by shallow embedding into Isabelle/HOL
Theoretical Computer Science
Solver technology for system-level to RTL equivalence checking
Proceedings of the Conference on Design, Automation and Test in Europe
Defect-aware logic mapping for nanowire-based programmable logic arrays via satisfiability
Proceedings of the Conference on Design, Automation and Test in Europe
Speculative reduction-based scalable redundancy identification
Proceedings of the Conference on Design, Automation and Test in Europe
Sequential logic rectifications with approximate SPFDs
Proceedings of the Conference on Design, Automation and Test in Europe
A 25-year perspective on logic programming
Small formulas for large programs: on-line constraint simplification in scalable static analysis
SAS'10 Proceedings of the 17th international conference on Static analysis
Partial weighted MaxSAT for optimal planning
PRICAI'10 Proceedings of the 11th Pacific Rim international conference on Trends in artificial intelligence
Natural domain SMT: a preliminary assessment
FORMATS'10 Proceedings of the 8th international conference on Formal modeling and analysis of timed systems
Semi-partitioned horn clauses: a tractable logic of types
AIMSA'10 Proceedings of the 14th international conference on Artificial intelligence: methodology, systems, and applications
Diversification and intensification in parallel SAT solving
CP'10 Proceedings of the 16th international conference on Principles and practice of constraint programming
A complete multi-valued SAT solver
CP'10 Proceedings of the 16th international conference on Principles and practice of constraint programming
Local consistency and SAT-solvers
CP'10 Proceedings of the 16th international conference on Principles and practice of constraint programming
Heuristics for planning with SAT
CP'10 Proceedings of the 16th international conference on Principles and practice of constraint programming
Exponential propagation for set variables
CP'10 Proceedings of the 16th international conference on Principles and practice of constraint programming
Evaluating and Improving Modern Variable and Revision Ordering Strategies in CSPs
Fundamenta Informaticae - RCRA 2008 Experimental Evaluation of Algorithms for Solving Problems with Combinatorial Explosion
Combinatorial Optimization Solutions for the Maximum Quartet Consistency Problem
Fundamenta Informaticae - RCRA 2008 Experimental Evaluation of Algorithms for Solving Problems with Combinatorial Explosion
A SAT-based Method for Solving the Two-dimensional Strip Packing Problem
Fundamenta Informaticae - RCRA 2008 Experimental Evaluation of Algorithms for Solving Problems with Combinatorial Explosion
Integration, the VLSI Journal
Journal of Automated Reasoning
Automated Flaw Detection in Algebraic Specifications
Journal of Automated Reasoning
Solving equation systems by agreeing and learning
WAIFI'10 Proceedings of the Third international conference on Arithmetic of finite fields
An optimal approach to the task allocation problem on hierarchical architectures
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Automatic constraint generation for guided random simulation
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
A method for debugging of pipelined processors in formal verification by correspondence checking
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Diagnosing software using statecharts
Proceedings of the 2010 Conference of the Center for Advanced Studies on Collaborative Research
On the power of clause-learning SAT solvers as resolution engines
Artificial Intelligence
Message race detection for web services by an SMT-based analysis
ATC'10 Proceedings of the 7th international conference on Autonomic and trusted computing
Boosting local search thanks to CDCL
LPAR'10 Proceedings of the 17th international conference on Logic for programming, artificial intelligence, and reasoning
Improving resource-unaware SAT solvers
LPAR'10 Proceedings of the 17th international conference on Logic for programming, artificial intelligence, and reasoning
Semiring-induced propositional logic: definition and basic algorithms
LPAR'10 Proceedings of the 16th international conference on Logic for programming, artificial intelligence, and reasoning
Method for formal verification of soft-error tolerance mechanisms in pipelined microprocessors
ICFEM'10 Proceedings of the 12th international conference on Formal engineering methods and software engineering
SAT-based model checking without unrolling
VMCAI'11 Proceedings of the 12th international conference on Verification, model checking, and abstract interpretation
A logic based algorithm for solving probabilistic satisfiability
IBERAMIA'10 Proceedings of the 12th Ibero-American conference on Advances in artificial intelligence
Algebraic side-channel attacks
Inscrypt'09 Proceedings of the 5th international conference on Information security and cryptology
Sechecker: a sequential equivalence checking framework based on K th invariants
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
On the modelling and optimization of preferences in constraint-based temporal reasoning
Artificial Intelligence
The Mechanical Verification of a DPLL-Based Satisfiability Solver
Electronic Notes in Theoretical Computer Science (ENTCS)
Potassco: The Potsdam Answer Set Solving Collection
AI Communications - Answer Set Programming
Guarded resolution for answer set programming
Theory and Practice of Logic Programming
Finding reductions automatically
Fields of logic and computation
Sat-solving based on boundary point elimination
HVC'10 Proceedings of the 6th international conference on Hardware and software: verification and testing
Efficient Generation of Stimuli for Functional Verification by Backjumping Across Extended FSMs
Journal of Electronic Testing: Theory and Applications
A halting algorithm to determine the existence of decoder
Proceedings of the 2010 Conference on Formal Methods in Computer-Aided Design
Relieving capacity limits on FPGA-based SAT-solvers
Proceedings of the 2010 Conference on Formal Methods in Computer-Aided Design
Boosting minimal unsatisfiable core extraction
Proceedings of the 2010 Conference on Formal Methods in Computer-Aided Design
Propelling SAT and SAT-based BMC using careset
Proceedings of the 2010 Conference on Formal Methods in Computer-Aided Design
Explaining the cumulative propagator
Constraints
Beyond unit propagation in SAT solving
SEA'11 Proceedings of the 10th international conference on Experimental algorithms
Optimization methods for the partner units problem
CPAIOR'11 Proceedings of the 8th international conference on Integration of AI and OR techniques in constraint programming for combinatorial optimization problems
Clause-learning algorithms with many restarts and bounded-width resolution
Journal of Artificial Intelligence Research
Alarm processing with model-based diagnosis of event discrete systems
Proceedings of the AI for an Intelligent Planet
An algorithm for direct construction of complete merged processes
PETRI NETS'11 Proceedings of the 32nd international conference on Applications and theory of Petri Nets
DPLL+ROBDD Derivation applied to inversion of some cryptographic functions
SAT'11 Proceedings of the 14th international conference on Theory and application of satisfiability testing
On freezing and reactivating learnt clauses
SAT'11 Proceedings of the 14th international conference on Theory and application of satisfiability testing
Between restarts and backjumps
SAT'11 Proceedings of the 14th international conference on Theory and application of satisfiability testing
Generating diverse solutions in SAT
SAT'11 Proceedings of the 14th international conference on Theory and application of satisfiability testing
Generalized conflict-clause strengthening for satisfiability solvers
SAT'11 Proceedings of the 14th international conference on Theory and application of satisfiability testing
Empirical study of the anatomy of modern sat solvers
SAT'11 Proceedings of the 14th international conference on Theory and application of satisfiability testing
Encoding OCL data types for SAT-based verification of UML/OCL models
TAP'11 Proceedings of the 5th international conference on Tests and proofs
Cutting to the Chase solving linear integer arithmetic
CADE'11 Proceedings of the 23rd international conference on Automated deduction
HAMPI: a string solver for testing, analysis and vulnerability detection
CAV'11 Proceedings of the 23rd international conference on Computer aided verification
CAV'11 Proceedings of the 23rd international conference on Computer aided verification
Optimal automatic table layout
Proceedings of the 11th ACM symposium on Document engineering
MDD propagators with explanation
Constraints
Generating highly balanced sudoku problems as hard problems
Journal of Heuristics
CP'11 Proceedings of the 17th international conference on Principles and practice of constraint programming
Grid-based SAT solving with iterative partitioning and clause learning
CP'11 Proceedings of the 17th international conference on Principles and practice of constraint programming
Exploiting macro-actions and predicting plan length in planning as satisfiability
AI*IA'11 Proceedings of the 12th international conference on Artificial intelligence around man and beyond
MEDI'11 Proceedings of the First international conference on Model and data engineering
Domain-splitting generalized nogoods from restarts
EPIA'11 Proceedings of the 15th Portugese conference on Progress in artificial intelligence
ICFEM'11 Proceedings of the 13th international conference on Formal methods and software engineering
On the relation between answer set and sat procedures (or, between cmodels and smodels)
ICLP'05 Proceedings of the 21st international conference on Logic Programming
Evaluating search strategies and heuristics for efficient answer set programming
AI*IA'05 Proceedings of the 9th conference on Advances in Artificial Intelligence
SAT Over BOINC: An Application-Independent Volunteer Grid Project
GRID '11 Proceedings of the 2011 IEEE/ACM 12th International Conference on Grid Computing
SAT as an effective solving technology for constraint problems
ISMIS'06 Proceedings of the 16th international conference on Foundations of Intelligent Systems
Abstraction and refinement in model checking
FMCO'05 Proceedings of the 4th international conference on Formal Methods for Components and Objects
Watched literals for constraint propagation in minion
CP'06 Proceedings of the 12th international conference on Principles and Practice of Constraint Programming
Towards an efficient SAT encoding for temporal reasoning
CP'06 Proceedings of the 12th international conference on Principles and Practice of Constraint Programming
Compiling finite linear CSP into SAT
CP'06 Proceedings of the 12th international conference on Principles and Practice of Constraint Programming
Extended resolution proofs for conjoining BDDs
CSR'06 Proceedings of the First international computer science conference on Theory and Applications
EuroGP'06 Proceedings of the 9th European conference on Genetic Programming
Parallel resolution of the satisfiability problem (SAT) with OpenMP and MPI
PPAM'05 Proceedings of the 6th international conference on Parallel Processing and Applied Mathematics
A path sensitive type system for resource usage verification of c like languages
APLAS'05 Proceedings of the Third Asian conference on Programming Languages and Systems
New advances in inference by recursive conditioning
UAI'03 Proceedings of the Nineteenth conference on Uncertainty in Artificial Intelligence
Value elimination: bayesian inference via backtracking search
UAI'03 Proceedings of the Nineteenth conference on Uncertainty in Artificial Intelligence
Selective search in bounded model checking of reachability properties
ATVA'05 Proceedings of the Third international conference on Automated Technology for Verification and Analysis
Predicate abstraction of RTL verilog descriptions using constraint logic programming
ATVA'05 Proceedings of the Third international conference on Automated Technology for Verification and Analysis
A hybrid BDD and SAT finite domain constraint solver
PADL'06 Proceedings of the 8th international conference on Practical Aspects of Declarative Languages
Zap: automated theorem proving for software analysis
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Decision procedures for SAT, SAT modulo theories and beyond. the barcelogictools
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Deciding separation logic formulae by SAT and incremental negative cycle elimination
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Satisfiability checking for PC(ID)
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Pool resolution and its relation to regular resolution and DPLL with clause learning
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Another complete local search method for SAT
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Mapping problems with finite-domain variables to problems with boolean variables
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
A SAT-based decision procedure for the boolean combination of difference constraints
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Incremental compilation-to-SAT procedures
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
QBF reasoning on real-world instances
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Clause form conversions for boolean circuits
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
CirCUs: a hybrid satisfiability solver
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
NiVER: non-increasing variable elimination resolution for preprocessing SAT instances
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Fifty-five solvers in vancouver: the SAT 2004 competition
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Zchaff2004: an efficient SAT solver
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Dynamic interoperable message passing
PVM/MPI'05 Proceedings of the 12th European PVM/MPI users' group conference on Recent Advances in Parallel Virtual Machine and Message Passing Interface
Information hiding in finite state machine
IH'04 Proceedings of the 6th international conference on Information Hiding
Solving parity games by a reduction to SAT
Journal of Computer and System Sciences
A boolean encoding including SAT and n-ary CSPs
AIMSA'06 Proceedings of the 12th international conference on Artificial Intelligence: methodology, Systems, and Applications
Self-configuration of network devices with configuration logic
AN'06 Proceedings of the First IFIP TC6 international conference on Autonomic Networking
Towards "propagation = logic + control"
ICLP'06 Proceedings of the 22nd international conference on Logic Programming
Partial solutions with unique completion
Reasoning, Action and Interaction in AI Theories and Systems
MDG-SAT: an automated methodology for efficient safety checking
International Journal of Critical Computer-Based Systems
Lazy explanations for constraint propagators
PADL'10 Proceedings of the 12th international conference on Practical Aspects of Declarative Languages
Alloy+HotCore: a fast approximation to unsat core
ABZ'10 Proceedings of the Second international conference on Abstract State Machines, Alloy, B and Z
Simplifying diagnosis using LSAT: a propositional approach to reasoning from first principles
CPAIOR'05 Proceedings of the Second international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
A search-infer-and-relax framework for integrating solution methods
CPAIOR'05 Proceedings of the Second international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
A SAT-based decision procedure for mixed logical/integer linear problems
CPAIOR'05 Proceedings of the Second international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Solving over-constrained problems with SAT technology
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
A symbolic search based approach for quantified boolean formulas
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
A clause-based heuristic for SAT solvers
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
Optimizations for compiling declarative models into boolean formulas
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
Heuristics for fast exact model counting
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
A scalable method for solving satisfiability of integer linear arithmetic logic
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
A new approach to model counting
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
Benchmarking SAT solvers for bounded model checking
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
Variable ordering for efficient SAT search by analyzing constraint-variable dependencies
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
Speedup techniques utilized in modern SAT solvers
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
FPGA logic synthesis using quantified boolean satisfiability
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
A new set of algebraic benchmark problems for SAT solvers
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
On subsumption removal and on-the-fly CNF simplification
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
FM'05 Proceedings of the 2005 international conference on Formal Methods
Minimizing counterexample with unit core extraction and incremental SAT
VMCAI'05 Proceedings of the 6th international conference on Verification, Model Checking, and Abstract Interpretation
Simple is better: efficient bounded model checking for past LTL
VMCAI'05 Proceedings of the 6th international conference on Verification, Model Checking, and Abstract Interpretation
Towards completely automatic decoder synthesis
Proceedings of the International Conference on Computer-Aided Design
Inferring assertion for complementary synthesis
Proceedings of the International Conference on Computer-Aided Design
Automatic formal verification of multithreaded pipelined microprocessors
Proceedings of the International Conference on Computer-Aided Design
Boolean matching of function vectors with strengthened learning
Proceedings of the International Conference on Computer-Aided Design
Reduction of interpolants for logic synthesis
Proceedings of the International Conference on Computer-Aided Design
Efficient arithmetic sum-of-product (SOP) based multiple constant multiplication (MCM) for FFT
Proceedings of the International Conference on Computer-Aided Design
Web explanations for semantic heterogeneity discovery
ESWC'05 Proceedings of the Second European conference on The Semantic Web: research and Applications
Allen linear (interval) temporal logic – translation to LTL and monitor synthesis
CAV'06 Proceedings of the 18th international conference on Computer Aided Verification
Bounded model checking of concurrent data types on relaxed memory models: a case study
CAV'06 Proceedings of the 18th international conference on Computer Aided Verification
Automatic construction and verification of isotopy invariants
IJCAR'06 Proceedings of the Third international joint conference on Automated Reasoning
A powerful technique to eliminate isomorphism in finite model search
IJCAR'06 Proceedings of the Third international joint conference on Automated Reasoning
A SAT-based decision procedure for the subclass of unrollable list formulas in ACL2 (SULFA)
IJCAR'06 Proceedings of the Third international joint conference on Automated Reasoning
Inferring network invariants automatically
IJCAR'06 Proceedings of the Third international joint conference on Automated Reasoning
Matrix interpretations for proving termination of term rewriting
IJCAR'06 Proceedings of the Third international joint conference on Automated Reasoning
Verifying multi-agent systems via unbounded model checking
FAABS'04 Proceedings of the Third international conference on Formal Approaches to Agent-Based Systems
Applications of craig interpolants in model checking
TACAS'05 Proceedings of the 11th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Efficient conflict analysis for finding all satisfying assignments of a boolean circuit
TACAS'05 Proceedings of the 11th international conference on Tools and Algorithms for the Construction and Analysis of Systems
An incremental and layered procedure for the satisfiability of linear arithmetic logic
TACAS'05 Proceedings of the 11th international conference on Tools and Algorithms for the Construction and Analysis of Systems
DiVer: SAT-based model checking platform for verifying large scale systems
TACAS'05 Proceedings of the 11th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Combining decision procedures by (model-)equality propagation
Science of Computer Programming
Applying constraint logic programming to predicate abstraction of RTL verilog descriptions
MICAI'05 Proceedings of the 4th Mexican international conference on Advances in Artificial Intelligence
Logical analysis of hash functions
FroCoS'05 Proceedings of the 5th international conference on Frontiers of Combining Systems
Conflict directed variable selection strategies for constraint satisfaction problems
SETN'10 Proceedings of the 6th Hellenic conference on Artificial Intelligence: theories, models and applications
Incremental and complete bounded model checking for full PLTL
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
Abstraction refinement for bounded model checking
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
Yet another decision procedure for equality logic
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
DPLL(T) with exhaustive theory propagation and its application to difference logic
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
Efficient satisfiability modulo theories via delayed theory combination
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
Hierarchic reasoning in local theory extensions
CADE' 20 Proceedings of the 20th international conference on Automated Deduction
Decision procedures customized for formal verification
CADE' 20 Proceedings of the 20th international conference on Automated Deduction
CADE' 20 Proceedings of the 20th international conference on Automated Deduction
CCC: the CASL consistency checker
WADT'04 Proceedings of the 17th international conference on Recent Trends in Algebraic Development Techniques
Proof-producing congruence closure
RTA'05 Proceedings of the 16th international conference on Term Rewriting and Applications
An analysis of SAT-based model checking techniques in an industrial environment
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
Acceleration of SAT-based iterative property checking
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
A parameterized benchmark suite of hard pipelined-machine-verification problems
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
Character-Based cladistics and answer set programming
PADL'05 Proceedings of the 7th international conference on Practical Aspects of Declarative Languages
Using a SAT-solver to schedule sports leagues
Journal of Scheduling
Dealing with Satisfiability and n-ary CSPs in a Logical Framework
Journal of Automated Reasoning
A non-prenex, non-clausal QBF solver with game-state learning
SAT'10 Proceedings of the 13th international conference on Theory and Applications of Satisfiability Testing
SAT solving with reference points
SAT'10 Proceedings of the 13th international conference on Theory and Applications of Satisfiability Testing
Integrating dependency schemes in search-based QBF solvers
SAT'10 Proceedings of the 13th international conference on Theory and Applications of Satisfiability Testing
Two techniques for minimizing resolution proofs
SAT'10 Proceedings of the 13th international conference on Theory and Applications of Satisfiability Testing
SAT'10 Proceedings of the 13th international conference on Theory and Applications of Satisfiability Testing
Feature specification and static analysis for interaction resolution
FM'06 Proceedings of the 14th international conference on Formal Methods
From propositional satisfiability to satisfiability modulo theories
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Extended resolution proofs for symbolic SAT solving with quantification
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Satisfiability checking of non-clausal formulas using general matings
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Applications of SAT solvers to cryptanalysis of hash functions
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Lemma learning in SMT on linear constraints
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
On SAT modulo theories and optimization problems
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Fast and flexible difference constraint propagation for DPLL(T)
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
On solving the partial MAX-SAT problem
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Local search for unsatisfiability
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Implementing survey propagation on graphics processing units
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Solving quantified boolean formulas with circuit observability don't cares
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
sharpSAT: counting models with advanced component caching and implicit BCP
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
A distribution method for solving SAT in grids
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Monotonicity analysis can speed up verification
RelMiCS'06/AKA'06 Proceedings of the 9th international conference on Relational Methods in Computer Science, and 4th international conference on Applications of Kleene Algebra
Approximate boolean reasoning: foundations and applications in data mining
Transactions on Rough Sets V
Automatic test pattern generation
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
SAT-Based verification methods and applications in hardware verification
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
Building efficient decision procedures on top of SAT solvers
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
Floating-Point verification using theorem proving
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
Duality in optimization and constraint satisfaction
CPAIOR'06 Proceedings of the Third international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Conflict-Directed a* search for soft constraints
CPAIOR'06 Proceedings of the Third international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
An efficient hybrid strategy for temporal planning
CPAIOR'06 Proceedings of the Third international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Prolog Cafe: a prolog to java translator system
INAP'05 Proceedings of the 16th international conference on Applications of Declarative Programming and Knowledge Management
A pearl on SAT solving in prolog
FLOPS'10 Proceedings of the 10th international conference on Functional and Logic Programming
An alternative to SAT-Based approaches for bit-vectors
TACAS'10 Proceedings of the 16th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Lazy clause generation: combining the power of SAT and CP (and MIP?) solving
CPAIOR'10 Proceedings of the 7th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Rapid learning for binary programs
CPAIOR'10 Proceedings of the 7th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Improving parallel local search for SAT
LION'05 Proceedings of the 5th international conference on Learning and Intelligent Optimization
Automatically exploiting symmetries in constraint programming
CSCLP'04 Proceedings of the 2004 joint ERCIM/CoLOGNET international conference on Recent Advances in Constraints
HAIFASAT: a new robust SAT solver
HVC'05 Proceedings of the First Haifa international conference on Hardware and Software Verification and Testing
SDSAT: tight integration of small domain encoding and lazy approaches in a separation logic solver
TACAS'06 Proceedings of the 12th international conference on Tools and Algorithms for the Construction and Analysis of Systems
SAT-Based software certification
TACAS'06 Proceedings of the 12th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Exploration of the capabilities of constraint programming for software verification
TACAS'06 Proceedings of the 12th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Exploiting traces in program analysis
TACAS'06 Proceedings of the 12th international conference on Tools and Algorithms for the Construction and Analysis of Systems
A practical and complete approach to predicate refinement
TACAS'06 Proceedings of the 12th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Bounded model checking of software using SMT solvers instead of SAT solvers
SPIN'06 Proceedings of the 13th international conference on Model Checking Software
SAT-based verification for timed component connectors
Science of Computer Programming
Efficient and formal generalized symbolic execution
Automated Software Engineering
A pearl on SAT and SMT solving in Prolog
Theoretical Computer Science
Directed test generation for validation of multicore architectures
ACM Transactions on Design Automation of Electronic Systems (TODAES) - Special section on verification challenges in the concurrent world
Humans for EDA and EDA for humans
Proceedings of the 49th Annual Design Automation Conference
Functional timing analysis made fast and general
Proceedings of the 49th Annual Design Automation Conference
Conflict-driven answer set solving: From theory to practice
Artificial Intelligence
iSat: structure visualization for SAT problems
LPAR'12 Proceedings of the 18th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Solving non-linear arithmetic constraints in soft realtime environments
Proceedings of the 27th Annual ACM Symposium on Applied Computing
Probabilistic satisfiability: logic-based algorithms and phase transition
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume One
Verification conditions for source-level imperative programs
Computer Science Review
Conflict analysis in mixed integer programming
Discrete Optimization
An automated approach to generating efficient constraint solvers
Proceedings of the 34th International Conference on Software Engineering
An overview of parallel SAT solving
Constraints
Solving difficult SAT problems by using OBDDs and greedy clique decomposition
FAW-AAIM'12 Proceedings of the 6th international Frontiers in Algorithmics, and Proceedings of the 8th international conference on Algorithmic Aspects in Information and Management
Explaining flow-based propagation
CPAIOR'12 Proceedings of the 9th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Activity-Based search for black-box constraint programming solvers
CPAIOR'12 Proceedings of the 9th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Maximising the net present value for resource-constrained project scheduling
CPAIOR'12 Proceedings of the 9th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Active attacking multicast key management protocol using alloy
ABZ'12 Proceedings of the Third international conference on Abstract State Machines, Alloy, B, VDM, and Z
An empirical study of learning and forgetting constraints
AI Communications - 18th RCRA International Workshop on “Experimental evaluation of algorithms for solving problems with combinatorial explosion”
Look-back Techniques for ASP Programs with Aggregates
Fundamenta Informaticae
Designing scalable parallel SAT solvers
SAT'12 Proceedings of the 15th international conference on Theory and Applications of Satisfiability Testing
Conflict-driven XOR-clause learning
SAT'12 Proceedings of the 15th international conference on Theory and Applications of Satisfiability Testing
The community structure of SAT formulas
SAT'12 Proceedings of the 15th international conference on Theory and Applications of Satisfiability Testing
CoPAn: exploring recurring patterns in conflict analysis of CDCL SAT solvers
SAT'12 Proceedings of the 15th international conference on Theory and Applications of Satisfiability Testing
Optimizing MiniSAT variable orderings for the relational model finder kodkod
SAT'12 Proceedings of the 15th international conference on Theory and Applications of Satisfiability Testing
An efficient method for solving UNSAT 3-SAT and similar instances via static decomposition
SAT'12 Proceedings of the 15th international conference on Theory and Applications of Satisfiability Testing
Intensification search in modern SAT solvers
SAT'12 Proceedings of the 15th international conference on Theory and Applications of Satisfiability Testing
SAT'12 Proceedings of the 15th international conference on Theory and Applications of Satisfiability Testing
IJCAR'12 Proceedings of the 6th international joint conference on Automated Reasoning
Specification and verification of multi-agent systems
ESSLLI'10 Proceedings of the 2010 conference on ESSLLI 2010, and ESSLLI 2011 conference on Lectures on Logic and Computation
When boolean satisfiability meets gaussian elimination in a simplex way
CAV'12 Proceedings of the 24th international conference on Computer Aided Verification
Beyond first-order satisfaction: fixed points, interpolants, automata and polynomials
SPIN'12 Proceedings of the 19th international conference on Model Checking Software
Towards Verification of Java Programs in perICS
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Model Checking Abstract State Machines with Answer Set Programming
Fundamenta Informaticae - This is a SPECIAL ISSUE ON ASM'05
Logic Synthesis for Asynchronous Circuits Based on STG Unfoldings and Incremental SAT
Fundamenta Informaticae - APPLICATION OF CONCURRENCY TO SYSTEM DESIGN (ACSD'04)
Detecting State Encoding Conflicts in STG Unfoldings Using SAT
Fundamenta Informaticae - Application of Concurrency to System Design (ACSD'03)
Verifying Epistemic Properties of Multi-agent Systems via Bounded Model Checking
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P'2002), Part 2
Checking Reachability Properties for Timed Automata via SAT
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P'2002), Part 2
Bounded Model Checking for the Universal Fragment of CTL
Fundamenta Informaticae - Concurrency Specification and Programming Workshop (CS&P'2001)
HAMPI: A solver for word equations over strings, regular expressions, and context-free grammars
ACM Transactions on Software Engineering and Methodology (TOSEM)
Electronic Notes in Theoretical Computer Science (ENTCS)
Efficient self-learning techniques for SAT-based test generation
Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
SAS+ planning as satisfiability
Journal of Artificial Intelligence Research
Local consistency and SAT-solvers
Journal of Artificial Intelligence Research
New bounds for MAX-SAT by clause learning
CSR'07 Proceedings of the Second international conference on Computer Science: theory and applications
Efficiently generating structurally complex inputs with thousands of objects
ECOOP'07 Proceedings of the 21st European conference on Object-Oriented Programming
Planning as satisfiability: Heuristics
Artificial Intelligence
Refining restarts strategies for SAT and UNSAT
CP'12 Proceedings of the 18th international conference on Principles and Practice of Constraint Programming
Inter-instance nogood learning in constraint programming
CP'12 Proceedings of the 18th international conference on Principles and Practice of Constraint Programming
Solving temporal problems using SMT: strong controllability
CP'12 Proceedings of the 18th international conference on Principles and Practice of Constraint Programming
Relating proof complexity measures and practical hardness of SAT
CP'12 Proceedings of the 18th international conference on Principles and Practice of Constraint Programming
A compact encoding of pseudo-boolean constraints into SAT
KI'12 Proceedings of the 35th Annual German conference on Advances in Artificial Intelligence
A generalization of stålmarck's method
SAS'12 Proceedings of the 19th international conference on Static Analysis
On the application of SAT solvers to the test suite minimization problem
SSBSE'12 Proceedings of the 4th international conference on Search Based Software Engineering
Producing and verifying extremely large propositional refutations
Annals of Mathematics and Artificial Intelligence
Liveness vs safety: a practical viewpoint
HVC'11 Proceedings of the 7th international Haifa Verification conference on Hardware and Software: verification and testing
Predicting serializability violations: SMT-Based search vs. DPOR-Based search
HVC'11 Proceedings of the 7th international Haifa Verification conference on Hardware and Software: verification and testing
Preface to the special issue "SI: Satisfiability Modulo Theories"
Formal Methods in System Design
Multi-threaded asp solving with clasp
Theory and Practice of Logic Programming
International Journal of Advanced Intelligence Paradigms
Asynchronous multi-core incremental SAT solving
TACAS'13 Proceedings of the 19th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Correctness of solving query-answering problems using satisfiability solvers
ACIIDS'13 Proceedings of the 5th Asian conference on Intelligent Information and Database Systems - Volume Part I
Techniques for SAT-based constrained test pattern generation
Microprocessors & Microsystems
pEvoSAT: a novel permutation based genetic algorithm for solving the boolean satisfiability problem
Proceedings of the 15th annual conference on Genetic and evolutionary computation
Using cross-entropy for satisfiability
Proceedings of the 28th Annual ACM Symposium on Applied Computing
Tableau Calculi for Logic Programs under Answer Set Semantics
ACM Transactions on Computational Logic (TOCL)
Solving RCPSP/max by lazy clause generation
Journal of Scheduling
ACSC '12 Proceedings of the Thirty-fifth Australasian Computer Science Conference - Volume 122
Optimization techniques for craig interpolant compaction in unbounded model checking
Proceedings of the Conference on Design, Automation and Test in Europe
Synthesis of feedback decoders for initialized encoders
Proceedings of the 50th Annual Design Automation Conference
CafeSat: a modern SAT solver for Scala
Proceedings of the 4th Workshop on Scala
Automating data analysis and acquisition setup in a silicon debug environment
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Non-solution implications using reverse domination in a modern SAT-based debugging environment
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
A bisimulation between DPLL(T) and a proof-search strategy for the focused sequent calculus
Proceedings of the Eighth ACM SIGPLAN international workshop on Logical frameworks & meta-languages: theory & practice
Mining-based compression approach of propositional formulae
Proceedings of the 22nd ACM international conference on Conference on information & knowledge management
Theory propagation and rational-trees
Proceedings of the 15th Symposium on Principles and Practice of Declarative Programming
SmipRef: An efficient method for multi-domain clock skew scheduling
Integration, the VLSI Journal
A formal model for sustainable vehicle-to-grid management
Proceedings of the first ACM workshop on Smart energy grid security
Soundness of inprocessing in clause sharing SAT solvers
SAT'13 Proceedings of the 16th international conference on Theory and Applications of Satisfiability Testing
Efficient clause learning for quantified boolean formulas via QBF pseudo unit propagation
SAT'13 Proceedings of the 16th international conference on Theory and Applications of Satisfiability Testing
Concurrent clause strengthening
SAT'13 Proceedings of the 16th international conference on Theory and Applications of Satisfiability Testing
SAT'13 Proceedings of the 16th international conference on Theory and Applications of Satisfiability Testing
A constraint satisfaction approach for programmable logic detailed placement
SAT'13 Proceedings of the 16th international conference on Theory and Applications of Satisfiability Testing
On the interpolation between product-based message passing heuristics for SAT
SAT'13 Proceedings of the 16th international conference on Theory and Applications of Satisfiability Testing
Improving glucose for incremental SAT solving with assumptions: application to MUS extraction
SAT'13 Proceedings of the 16th international conference on Theory and Applications of Satisfiability Testing
Complexity issues related to propagation completeness
Artificial Intelligence
Automated reencoding of boolean formulas
HVC'12 Proceedings of the 8th international conference on Hardware and Software: verification and testing
Coverage-based trace signal selection for fault localisation in post-silicon validation
HVC'12 Proceedings of the 8th international conference on Hardware and Software: verification and testing
A survey of the satisfiability-problems solving algorithms
International Journal of Advanced Intelligence Paradigms
Just-in-time compilation of knowledge bases
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Knowledge compilation for model counting: affine decision trees
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
A generalization of SAT and #SAT for robust policy evaluation
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
A SAT-based approach to cost-sensitive temporally expressive planning
ACM Transactions on Intelligent Systems and Technology (TIST) - Special Section on Intelligent Mobile Knowledge Discovery and Management Systems and Special Issue on Social Web Mining
FPGA acceleration of enhanced boolean constraint propagation for SAT solvers
Proceedings of the International Conference on Computer-Aided Design
Explaining circuit propagation
Constraints
Reducing the verification cost of evolving product families using static analysis techniques
Science of Computer Programming
Optimal implementation of watched literals and more general techniques
Journal of Artificial Intelligence Research
Hi-index | 0.03 |
Boolean Satisfiability is probably the most studied of combinatorial optimization/search problems. Significant effort has been devoted to trying to provide practical solutions to this problem for problem instances encountered in a range of applications in Electronic Design Automation (EDA), as well as in Artificial Intelligence (AI). This study has culminated in the development of several SAT packages, both proprietary and in the public domain (e.g. GRASP, SATO) which find significant use in both research and industry. Most existing complete solvers are variants of the Davis-Putnam (DP) search algorithm. In this paper we describe the development of a new complete solver, Chaff, which achieves significant performance gains through careful engineering of all aspects of the search - especially a particularly efficient implementation of Boolean constraint propagation (BCP) and a novel low overhead decision strategy. Chaff has been able to obtain one to two orders of magnitude performance improvement on difficult SAT benchmarks in comparison with other solvers (DP or otherwise), including GRASP and SATO.