A Computing Procedure for Quantification Theory
Journal of the ACM (JACM)
On the complexity of unsatisfiability proofs for random k-CNF formulas
STOC '98 Proceedings of the thirtieth annual ACM symposium on Theory of computing
Boosting combinatorial search through randomization
AAAI '98/IAAI '98 Proceedings of the fifteenth national/tenth conference on Artificial intelligence/Innovative applications of artificial intelligence
Logical representation and computation of optimal decisions in a qualitative setting
AAAI '98/IAAI '98 Proceedings of the fifteenth national/tenth conference on Artificial intelligence/Innovative applications of artificial intelligence
Short proofs are narrow—resolution made simple
STOC '99 Proceedings of the thirty-first annual ACM symposium on Theory of computing
Proceedings of the ninth annual ACM-SIAM symposium on Discrete algorithms
DISTANCE-SAT: complexity and algorithms
AAAI '99/IAAI '99 Proceedings of the sixteenth national conference on Artificial intelligence and the eleventh Innovative applications of artificial intelligence conference innovative applications of artificial intelligence
Initial experiments in stochastic satisfiability
AAAI '99/IAAI '99 Proceedings of the sixteenth national conference on Artificial intelligence and the eleventh Innovative applications of artificial intelligence conference innovative applications of artificial intelligence
Theorem-Proving on the Computer
Journal of the ACM (JACM)
A Computer Program for a Solvable Case of the Decision Problem
Journal of the ACM (JACM)
A New Class of Automated Theorem-Proving Algorithms
Journal of the ACM (JACM)
Setting 2 variables at a time yields a new lower bound for random 3-SAT (extended abstract)
STOC '00 Proceedings of the thirty-second annual ACM symposium on Theory of computing
Reliable verification using symbolic simulation with scalar values
Proceedings of the 37th Annual Design Automation Conference
Boolean satisfiability in electronic design automation
Proceedings of the 37th Annual Design Automation Conference
A lower bound for DLL algorithms for k-SAT (preliminary version)
SODA '00 Proceedings of the eleventh annual ACM-SIAM symposium on Discrete algorithms
Using SAT for combinational equivalence checking
Proceedings of the conference on Design, automation and test in Europe
Short proofs are narrow—resolution made simple
Journal of the ACM (JACM)
SATIRE: a new incremental satisfiability engine
Proceedings of the 38th annual Design Automation Conference
A sharp threshold in proof complexity
STOC '01 Proceedings of the thirty-third annual ACM symposium on Theory of computing
Rigorous results for random (2 + p)-SAT
Theoretical Computer Science - Phase transitions in combinatorial problems
Results related to threshold phenomena research in satisfiability: lower bounds
Theoretical Computer Science - Phase transitions in combinatorial problems
An exponential separation between regular and general resolution
STOC '02 Proceedings of the thiry-fourth annual ACM symposium on Theory of computing
Size space tradeoffs for resolution
STOC '02 Proceedings of the thiry-fourth annual ACM symposium on Theory of computing
Backjump-based backtracking for constraint satisfaction problems
Artificial Intelligence
A proof engine approach to solving combinational design automation problems
Proceedings of the 39th annual Design Automation Conference
Satometer:: how much have we searched?
Proceedings of the 39th annual Design Automation Conference
Combining strengths of circuit-based and CNF-based algorithms for a high-performance SAT solver
Proceedings of the 39th annual Design Automation Conference
ACSC '02 Proceedings of the twenty-fifth Australasian conference on Computer science - Volume 4
SAT and ATPG: algorithms for Boolean decision problems
Logic Synthesis and Verification
Extending and implementing the stable model semantics
Artificial Intelligence
Solving Satisfiability Problems Using Elliptic Approximations. A Note on Volumes and Weights
Annals of Mathematics and Artificial Intelligence
An Overview of Backtrack Search Satisfiability Algorithms
Annals of Mathematics and Artificial Intelligence
Average case results for satisfiability algorithms under the random-clause-width model
Annals of Mathematics and Artificial Intelligence
Parallel cooperative propositional theorem proving
Annals of Mathematics and Artificial Intelligence
A propositional theorem prover to solve planning and other problems
Annals of Mathematics and Artificial Intelligence
A taxonomy of parallel strategies for deduction
Annals of Mathematics and Artificial Intelligence
Some interesting research directions in satisfiability
Annals of Mathematics and Artificial Intelligence
A Subset-Matching Size-Bounded Cache for Testing Satisfiability in Modal Logics
Annals of Mathematics and Artificial Intelligence
Toupie: The µ-calculus over Finite Domains as a Constraint Language
Journal of Automated Reasoning
Ordered Semantic Hyper-Linking
Journal of Automated Reasoning
Autarky Pruning in Propositional Model Elimination Reduces Failure Redundancy
Journal of Automated Reasoning
Relaxations of the Satisfiability Problem Using Semidefinite Programming
Journal of Automated Reasoning
Heavy-Tailed Phenomena in Satisfiability and Constraint Satisfaction Problems
Journal of Automated Reasoning
The Propositional Formula Checker HeerHugo
Journal of Automated Reasoning
Proving Consistency Assertions for Automotive Product Data Management
Journal of Automated Reasoning
Logical Cryptanalysis as a SAT Problem
Journal of Automated Reasoning
Implementing the Davis–Putnam Method
Journal of Automated Reasoning
Resolution versus Search: Two Strategies for SAT
Journal of Automated Reasoning
New Worst-Case Upper Bounds for SAT
Journal of Automated Reasoning
Local Search Algorithms for SAT: An Empirical Evaluation
Journal of Automated Reasoning
Stochastic Boolean Satisfiability
Journal of Automated Reasoning
Proving Unsatisfiability of CNFs Locally
Journal of Automated Reasoning
Evaluating Optimized Decision Procedures for Propositional Modal K(m) Satisfiability
Journal of Automated Reasoning
Efficient conflict driven learning in a boolean satisfiability solver
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
About the incremental validation of first-order stratified knowledge-based decision-support systems
Information Sciences—Informatics and Computer Science: An International Journal - Special issue: Intelligent information systems and applications
Nagging: a scalable fault-tolerant paradigm for distributed search
Artificial Intelligence
A Tutorial on Stålmarck‘s Proof Procedure for PropositionalLogic
Formal Methods in System Design - Special issue on formal methods for computer-added design
Convergence Properties of Optimization Algorithms for the SAT Problem
IEEE Transactions on Computers
Global Optimization for Satisfiability (SAT) Problem
IEEE Transactions on Knowledge and Data Engineering
Complete on average Boolean satisfiability
Journal of Complexity
Backtrack searching in the presence of symmetry
Nordic Journal of Computing
Towards Provably Complete Stochastic Search Algorithms for Satisfiability
EPIA '01 Proceedings of the10th Portuguese Conference on Artificial Intelligence on Progress in Artificial Intelligence, Knowledge Extraction, Multi-agent Systems, Logic Programming and Constraint Solving
Compiling Problem Specifications into SAT
ESOP '01 Proceedings of the 10th European Symposium on Programming Languages and Systems
Simplifying Binary Propositional Theories into Connected Components Twice as Fast
LPAR '01 Proceedings of the Artificial Intelligence on Logic for Programming
Partial Implicit Unfolding in the Davis-Putnam Procedure for Quantified Boolean Formulae
LPAR '01 Proceedings of the Artificial Intelligence on Logic for Programming
Modelling More Realistic SAT Problems
AI '02 Proceedings of the 15th Australian Joint Conference on Artificial Intelligence: Advances in Artificial Intelligence
Complexity Issues in the Davis and Putnam Scheme
AIMSA '00 Proceedings of the 9th International Conference on Artificial Intelligence: Methodology, Systems, and Applications
Using Failed Local Search for SAT as an Oracle for Tackling Harder A.I. Problems More Efficiently
AIMSA '02 Proceedings of the 10th International Conference on Artificial Intelligence: Methodology, Systems, and Applications
Feasibility of Optimised Disjunctive Reasoning for Approximate Matching
AI '99 Proceedings of the 12th Australian Joint Conference on Artificial Intelligence: Advanced Topics in Artificial Intelligence
Bounded Model Checking for Timed Systems
FORTE '02 Proceedings of the 22nd IFIP WG 6.1 International Conference Houston on Formal Techniques for Networked and Distributed Systems
A Tutorial on Stålmarcks's Proof Procedure for Propositional Logic
FMCAD '98 Proceedings of the Second International Conference on Formal Methods in Computer-Aided Design
A DPLL-Based Calculus for Ground Satisfiability Modulo Theories
JELIA '02 Proceedings of the European Conference on Logics in Artificial Intelligence
Dependent and Independent Variables in Propositional Satisfiability
JELIA '02 Proceedings of the European Conference on Logics in Artificial Intelligence
Satisfiability Checking Using Boolean Expression Diagrams
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Handling Inconsistency Efficiently in the Incremental Construction of Statified Belief Bases
ECSQARU '95 Proceedings of the European Conference on Symbolic and Quantitative Approaches to Reasoning and Uncertainty
STACS '00 Proceedings of the 17th Annual Symposium on Theoretical Aspects of Computer Science
A Compressed Breadth-First Search for Satisfiability
ALENEX '02 Revised Papers from the 4th International Workshop on Algorithm Engineering and Experiments
Lemma and Model Caching in Decision Procedures for Quantified Boolean Formulas
TABLEAUX '02 Proceedings of the International Conference on Automated Reasoning with Analytic Tableaux and Related Methods
Robust SAT-Based Search Algorithm for Leakage Power Reduction
PATMOS '02 Proceedings of the 12th International Workshop on Integrated Circuit Design. Power and Timing Modeling, Optimization and Simulation
Two-Literal Logic Programs and Satisfiability Representation of Stable Models: A Comparison
AI '02 Proceedings of the 15th Conference of the Canadian Society for Computational Studies of Intelligence on Advances in Artificial Intelligence
Random 3-SAT: The Plot Thickens
CP '02 Proceedings of the 6th International Conference on Principles and Practice of Constraint Programming
CP '02 Proceedings of the 6th International Conference on Principles and Practice of Constraint Programming
Random 3-SAT and BDDs: The Plot Thickens Further
CP '01 Proceedings of the 7th International Conference on Principles and Practice of Constraint Programming
A Constraint Programming Approach to the Stable Marriage Problem
CP '01 Proceedings of the 7th International Conference on Principles and Practice of Constraint Programming
Automatic Generation of Implied Clauses for SAT
CP '01 Proceedings of the 7th International Conference on Principles and Practice of Constraint Programming
Phase Transitions and Backbones of 3-SAT and Maximum 3-SAT
CP '01 Proceedings of the 7th International Conference on Principles and Practice of Constraint Programming
Capturing Structure with Satisfiability
CP '01 Proceedings of the 7th International Conference on Principles and Practice of Constraint Programming
CP '02 Proceedings of the 8th International Conference on Principles and Practice of Constraint Programming
CP '02 Proceedings of the 8th International Conference on Principles and Practice of Constraint Programming
Automatic Generation of Implied Clauses for SAT
CP '02 Proceedings of the 8th International Conference on Principles and Practice of Constraint Programming
Towards a Symmetric Treatment of Satisfaction and Conflicts in Quantified Boolean Formula Evaluation
CP '02 Proceedings of the 8th International Conference on Principles and Practice of Constraint Programming
Recovering and Exploiting Structural Knowledge from CNF Formulas
CP '02 Proceedings of the 8th International Conference on Principles and Practice of Constraint Programming
CL '00 Proceedings of the First International Conference on Computational Logic
FME '02 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods - Getting IT Right
Benefits of Bounded Model Checking at an Industrial Setting
CAV '01 Proceedings of the 13th International Conference on Computer Aided Verification
Semi-formal Bounded Model Checking
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
The Quest for Efficient Boolean Satisfiability Solvers
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
A Space-Efficient Randomized DNA Algorithm for k-SAT
DNA '00 Revised Papers from the 6th International Workshop on DNA-Based Computers: DNA Computing
On the Automatizability of Resolution and Related Propositional Proof Systems
CSL '02 Proceedings of the 16th International Workshop and 11th Annual Conference of the EACSL on Computer Science Logic
Replacement Rules with Definition Detection
Selected Papers from Automated Deduction in Classical and Non-Classical Logics
Integrating Boolean and Mathematical Solving: Foundations, Basic Algorithms, and Requirements
AISC '02/Calculemus '02 Proceedings of the Joint International Conferences on Artificial Intelligence, Automated Reasoning, and Symbolic Computation
Applying the Davis-Putnam Procedure to Non-clausal Formulas
AI*IA '99 Proceedings of the 6th Congress of the Italian Association for Artificial Intelligence on Advances in Artificial Intelligence
Evaluating Search Heuristics and Optimization Techniques in Propositional Satisfiability
IJCAR '01 Proceedings of the First International Joint Conference on Automated Reasoning
Conditional Pure Literal Graphs
IJCAR '01 Proceedings of the First International Joint Conference on Automated Reasoning
Reformulating Propositional Satisfiability as Constraint Satisfaction
SARA '02 Proceedings of the 4th International Symposium on Abstraction, Reformulation, and Approximation
CP '02 Proceedings of the 6th International Conference on Principles and Practice of Constraint Programming
FORCE: a fast and easy-to-implement variable-ordering heuristic
Proceedings of the 13th ACM Great Lakes symposium on VLSI
On market-inspired approaches to propositional satisfiability
Artificial Intelligence
Journal of Symbolic Computation
Conflict driven learning in a quantified Boolean Satisfiability solver
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
Generic ILP versus specialized 0-1 ILP: an update
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
SAT and ATPG: Boolean engines for formal hardware verification
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
Learning from BDDs in SAT-based bounded model checking
Proceedings of the 40th annual Design Automation Conference
A fast pseudo-boolean constraint solver
Proceedings of the 40th annual Design Automation Conference
A compiler for deterministic, decomposable negation normal form
Eighteenth national conference on Artificial intelligence
Combining inference and search for the propositional satisfiability problem
Eighteenth national conference on Artificial intelligence
First-order logic Davis-Putnam-Logemann-Loveland procedure
Exploring artificial intelligence in the new millennium
Theoretical Computer Science
Backjumping for quantified Boolean logic satisfiability
Artificial Intelligence
A complete adaptive algorithm for propositional satisfiability
Discrete Applied Mathematics
From type inference to configuration
The essence of computation
Optimal utterances in dialogue protocols
AAMAS '03 Proceedings of the second international joint conference on Autonomous agents and multiagent systems
Optimality of size-width tradeoffs for resolution
Computational Complexity
SAT-based planning in complex domains: concurrency, constraints and nondeterminism
Artificial Intelligence - special issue on planning with uncertainty and incomplete information
Contingent planning under uncertainty via stochastic satisfiability
Artificial Intelligence - special issue on planning with uncertainty and incomplete information
The description logic handbook
Checking reachability properties for timed automata via SAT
Fundamenta Informaticae - Concurrency specification and programming
Random 3-SAT: The Plot Thickens
Constraints
Resource-Bounded Paraconsistent Inference
Annals of Mathematics and Artificial Intelligence
Approximating minimal unsatisfiable subformulae by means of adaptive core search
Discrete Applied Mathematics - The renesse issue on satisfiability
How to fake an RSA signature by encoding modular root finding as a SAT problem
Discrete Applied Mathematics - The renesse issue on satisfiability
Worst-case upper bounds for MAX-2-SAT with an application to MAX-CUT
Discrete Applied Mathematics - The renesse issue on satisfiability
Resolution and binary decision diagrams cannot simulate each other polynomially
Discrete Applied Mathematics - The renesse issue on satisfiability
Worst-case study of local search for MAX-k-SAT
Discrete Applied Mathematics - The renesse issue on satisfiability
On the structure of some classes of minimal unsatisfiable formulas
Discrete Applied Mathematics - The renesse issue on satisfiability
Equivalent literal propagation in the DLL procedure
Discrete Applied Mathematics - The renesse issue on satisfiability
On the limit of branching rules for hard random unsatisfiable 3-SAT
Discrete Applied Mathematics - The renesse issue on satisfiability
A satisfiability procedure for quantified boolean formulae
Discrete Applied Mathematics - The renesse issue on satisfiability
SAT problems with chains of dependent variables
Discrete Applied Mathematics - The renesse issue on satisfiability
Formal methods for the validation of automotive product configuration data
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Persistent and Quasi-Persistent Lemmas in Propositional Model Elimination
Annals of Mathematics and Artificial Intelligence
Combining linear programming and satisfiability solving for resource planning
The Knowledge Engineering Review
Applying integer programming to AI planning
The Knowledge Engineering Review
A parallel backtracking framework (BkFr) for single and multiple clusters
Proceedings of the 1st conference on Computing frontiers
Safety Property Verification Using Sequential SAT and Bounded Model Checking
IEEE Design & Test
On the automatizability of resolution and related propositional proof systems
Information and Computation
A sharp threshold in proof complexity yields lower bounds for satisfiability search
Journal of Computer and System Sciences - STOC 2001
A Comparative Study of Two Boolean Formulations of FPGA Detailed Routing Constraints
IEEE Transactions on Computers
An efficient finite-domain constraint solver for circuits
Proceedings of the 41st annual Design Automation Conference
Refining the SAT decision ordering for bounded model checking
Proceedings of the 41st annual Design Automation Conference
SATORI - A Fast Sequential SAT Engine for Circuits
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
CAMA: A Multi-Valued Satisfiability Solver
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Heuristic average-case analysis of the backtrack resolution of random 3-satisfiability instances
Theoretical Computer Science
On Stratified Belief Base Compilation
Annals of Mathematics and Artificial Intelligence
Satisfiability and integer programming as complementary tools
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
ShatterPB: symmetry-breaking for pseudo-Boolean formulas
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Facilitating reuse in hardware models with enhanced type inference
Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Local Search for Boolean Relations on the Basis of Unit Propagation
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Verification of Proofs of Unsatisfiability for CNF Formulas
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Reconfigurable Hardware SAT Solvers: A Survey of Systems
IEEE Transactions on Computers
A software/reconfigurable hardware SAT solver
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A logical toolbox for knowledge approximation
TARK '01 Proceedings of the 8th conference on Theoretical aspects of rationality and knowledge
SAICSIT '04 Proceedings of the 2004 annual research conference of the South African institute of computer scientists and information technologists on IT research in developing countries
On SAT Instance Classes and a Method for Reliable Performance Experiments with SAT Solvers
Annals of Mathematics and Artificial Intelligence
Testing Satisfiability of CNF Formulas by Computing a Stable Set of Points
Annals of Mathematics and Artificial Intelligence
Annals of Mathematics and Artificial Intelligence
Efficient Data Structures for Backtrack Search SAT Solvers
Annals of Mathematics and Artificial Intelligence
Annals of Mathematics and Artificial Intelligence
Toward Leaner Binary-Clause Reasoning in a Satisfiability Solver
Annals of Mathematics and Artificial Intelligence
A new characterization of probabilities in Bayesian networks
UAI '04 Proceedings of the 20th conference on Uncertainty in artificial intelligence
Minimal unsatisfiable formulas with bounded clause-variable difference are fixed-parameter tractable
Journal of Computer and System Sciences
Efficient Conflict-Based Learning in an RTL Circuit Constraint Solver
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
GridSAT: A Chaff-based Distributed SAT Solver for the Grid
Proceedings of the 2003 ACM/IEEE conference on Supercomputing
Utilizing don't care states in SAT-based bounded sequential problems
GLSVLSI '05 Proceedings of the 15th ACM Great Lakes symposium on VLSI
Resolution cannot polynomially simulate compressed-BFS
Annals of Mathematics and Artificial Intelligence
A Parallelization Scheme Based on Work Stealing for a Class of SAT Solvers
Journal of Automated Reasoning
Structural search for RTL with predicate learning
Proceedings of the 42nd annual Design Automation Conference
Prime clauses for fast enumeration of satisfying assignments to boolean circuits
Proceedings of the 42nd annual Design Automation Conference
An improved exponential-time algorithm for k-SAT
Journal of the ACM (JACM)
Lemma Exchange in a Microcontroller Based Parallel SAT Solver
ISVLSI '05 Proceedings of the IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design
Fully Symbolic Unbounded Model Checking for Alternating-time Temporal Logic1
Autonomous Agents and Multi-Agent Systems
MaxSolver: an efficient exact algorithm for (weighted) maximum satisfiability
Artificial Intelligence
Partition-based logical reasoning for first-order and propositional theories
Artificial Intelligence - Special volume on reformulation
Compiling problem specification into SAT
Artificial Intelligence - Special volume on reformulation
Unrestricted vs restricted cut in a tableau method for Boolean circuits
Annals of Mathematics and Artificial Intelligence
Improving exact algorithms for MAX-2-SAT
Annals of Mathematics and Artificial Intelligence
Processes and continuous change in a SAT-based planner
Artificial Intelligence
State Set Management for SAT-based Unbounded Model Checking
ICCD '05 Proceedings of the 2005 International Conference on Computer Design
Functional test generation based on word-level SAT
Journal of Systems Architecture: the EUROMICRO Journal
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Guiding CNF-SAT search via efficient constraint partitioning
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Efficient SAT-based unbounded symbolic model checking using circuit cofactoring
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Integrating CNF and BDD based SAT solvers
HLDVT '03 Proceedings of the Eighth IEEE International Workshop on High-Level Design Validation and Test Workshop
Logic transformation and coding theory-based frameworks for Boolean satisfiability
HLDVT '03 Proceedings of the Eighth IEEE International Workshop on High-Level Design Validation and Test Workshop
Complexity results on DPLL and resolution
ACM Transactions on Computational Logic (TOCL)
Validating the result of a Quantified Boolean Formula (QBF) solver: theory and practice
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
A template library to facilitate teaching message passing parallel computing
Proceedings of the 37th SIGCSE technical symposium on Computer science education
The resolution complexity of random graphk-colorability
Discrete Applied Mathematics - Special issue: Typical case complexity and phase transitions
Typical case complexity of satisfiability algorithms and the threshold phenomenon
Discrete Applied Mathematics - Special issue: Typical case complexity and phase transitions
NP-completeness for all computer science undergraduates: a novel project-based curriculum
Journal of Computing Sciences in Colleges
RTL SAT simplification by Boolean and interval arithmetic reasoning
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Strong conflict analysis for propositional satisfiability
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Exact Max-SAT solvers for over-constrained problems
Journal of Heuristics
Narrow proofs may be spacious: separating space and width in resolution
Proceedings of the thirty-eighth annual ACM symposium on Theory of computing
The probabilistic analysis of a greedy satisfiability algorithm
Random Structures & Algorithms
On quantified weighted MAX-SAT
Decision Support Systems
Conformant planning via heuristic forward search: a new approach
Artificial Intelligence
Predicate learning and selective theory deduction for a difference logic solver
Proceedings of the 43rd annual Design Automation Conference
A fast SAT solver algorithm best suited to reconfigurable hardware
SBCCI '06 Proceedings of the 19th annual symposium on Integrated circuits and systems design
GASAT: a genetic local search algorithm for the satisfiability problem
Evolutionary Computation
Automatic Debugging of Real-Time Systems Based on Incremental Satisfiability Counting
IEEE Transactions on Computers
Type-safe modular hash-consing
Proceedings of the 2006 workshop on ML
Symbolic Techniques in Satisfiability Solving
Journal of Automated Reasoning
Backdoor Sets for DLL Subsolvers
Journal of Automated Reasoning
Solving Non-Boolean Satisfiability Problems with Stochastic Local Search: A Comparison of Encodings
Journal of Automated Reasoning
Exponential Lower Bounds for the Running Time of DPLL Algorithms on Satisfiable Formulas
Journal of Automated Reasoning
The Complexity of Pure Literal Elimination
Journal of Automated Reasoning
The SAT-based Approach to Separation Logic
Journal of Automated Reasoning
Heuristic-Based Backtracking Relaxation for Propositional Satisfiability
Journal of Automated Reasoning
B-Cubing: New Possibilities for Efficient SAT-Solving
IEEE Transactions on Computers
Propositional Satisfiability and Constraint Programming: A comparative survey
ACM Computing Surveys (CSUR)
Embedded software verification using symbolic execution and uninterpreted functions
International Journal of Parallel Programming
Answer Set Programming Based on Propositional Satisfiability
Journal of Automated Reasoning
A constraint-based decision procedure for the description logic ALCN
SAICSIT '06 Proceedings of the 2006 annual research conference of the South African institute of computer scientists and information technologists on IT research in developing countries
Substitutions into propositional tautologies
Information Processing Letters
Density condensation of boolean formulas
Discrete Applied Mathematics - Special issue: Discrete algorithms and optimization, in honor of professor Toshihide Ibaraki at his retirement from Kyoto University
A competitive and cooperative approach to propositional satisfiability
Discrete Applied Mathematics - Special issue: Discrete algorithms and optimization, in honor of professor Toshihide Ibaraki at his retirement from Kyoto University
From Bounded to Unbounded Model Checking for Temporal Epistemic Logic
Fundamenta Informaticae - Multiagent Systems (FAMAS'03)
GridSAT: a system for solving satisfiability problems using a computational grid
Parallel Computing - Optimization on grids - Optimization for grids
Fast congruence closure and extensions
Information and Computation
HySAT: An efficient proof engine for bounded model checking of hybrid systems
Formal Methods in System Design
Paraconsistent reasoning and preferential entailments by signed quantified Boolean formulae
ACM Transactions on Computational Logic (TOCL)
Bounded Model Checking with Parametric Data Structures
Electronic Notes in Theoretical Computer Science (ENTCS)
Interpolant Learning and Reuse in SAT-Based Model Checking
Electronic Notes in Theoretical Computer Science (ENTCS)
A new hybrid solution to boost SAT solver performance
Proceedings of the conference on Design, automation and test in Europe
Discrete Applied Mathematics
BerkMin: A fast and robust Sat-solver
Discrete Applied Mathematics
Random backtracking in backtrack search algorithms for satisfiability
Discrete Applied Mathematics
Conflict-directed A* and its role in model-based embedded systems
Discrete Applied Mathematics
Regular-SAT: A many-valued approach to solving combinatorial problems
Discrete Applied Mathematics
Generalizing DPLL and satisfiability for equalities
Information and Computation
Distributing the Workload in a Lazy Theorem-Prover
Electronic Notes in Theoretical Computer Science (ENTCS)
Compressing Propositional Refutations
Electronic Notes in Theoretical Computer Science (ENTCS)
Alembic: an efficient algorithm for CNF preprocessing
Proceedings of the 44th annual Design Automation Conference
EHSAT: an efficient RTL satisfiability solver using an extended DPLL procedure
Proceedings of the 44th annual Design Automation Conference
On-the-fly resolve trace minimization
Proceedings of the 44th annual Design Automation Conference
On resolution proofs for combinational equivalence
Proceedings of the 44th annual Design Automation Conference
Local-search Extraction of MUSes
Constraints
Exploiting multivalued knowledge in variable selection heuristics for SAT solvers
Annals of Mathematics and Artificial Intelligence
Visualizing SAT Instances and Runs of the DPLL Algorithm
Journal of Automated Reasoning
Optimizing Terminological Reasoning for Expressive Description Logics
Journal of Automated Reasoning
New upper bound for the #3-SAT problem
Information Processing Letters
A SAT-based parser and completer for pictures specified by tiling
Pattern Recognition
No ifs, ands, or buts: uncovering the simplicity of conditionals
Proceedings of the 22nd annual ACM SIGPLAN conference on Object-oriented programming systems and applications
Solution and Optimization of Systems of Pseudo-Boolean Constraints
IEEE Transactions on Computers
An automated approach to monitoring and diagnosing requirements
Proceedings of the twenty-second IEEE/ACM international conference on Automated software engineering
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Fast exact Toffoli network synthesis of reversible logic
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Stimulus generation for constrained random simulation
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Generalised graph colouring by a hybrid of local search and constraint programming
Discrete Applied Mathematics
Another look at graph coloring via propositional satisfiability
Discrete Applied Mathematics
A logical approach to efficient Max-SAT solving
Artificial Intelligence
The model evolution calculus as a first-order DPLL method
Artificial Intelligence
On probabilistic inference by weighted model counting
Artificial Intelligence
Solving quantified constraint satisfaction problems
Artificial Intelligence
Extended resolution simulates binary decision diagrams
Discrete Applied Mathematics
An efficient solver for weighted Max-SAT
Journal of Global Optimization
Efficient symbolic multi-objective design space exploration
Proceedings of the 2008 Asia and South Pacific Design Automation Conference
Davis-Putnam Style Rules for Deciding Property S
Fundamenta Informaticae
A Propositional Programming Environment for Linear Algebra
Fundamenta Informaticae - Topics in Logic, Philosophy and Foundations of Mathematics and Computer Science. In Recognition of Professor Andrzej Grzegorczyk
Encoding First Order Proofs in SMT
Electronic Notes in Theoretical Computer Science (ENTCS)
An Algorithm for Approximating the Satisfiability Problem of High-level Conditions
Electronic Notes in Theoretical Computer Science (ENTCS)
Towards an optimal separation of space and length in resolution
STOC '08 Proceedings of the fortieth annual ACM symposium on Theory of computing
A practical reconfigurable hardware accelerator for Boolean satisfiability solvers
Proceedings of the 45th annual Design Automation Conference
Preprocessing for controlled query evaluation with availability policy
Journal of Computer Security - 20th Annual IFIP WG 11.3 Working Conference on Data and Applications Security (DBSec'06)
Algorithms for maximum satisfiability using unsatisfiable cores
Proceedings of the conference on Design, automation and test in Europe
A fast approximation algorithm for MIN-ONE SAT
Proceedings of the conference on Design, automation and test in Europe
Dynamic scheduling in parallel answer set programming solvers
SpringSim '07 Proceedings of the 2007 spring simulation multiconference - Volume 2
Model checking with Boolean Satisfiability
Journal of Algorithms
SAT graph-based representation: A new perspective
Journal of Algorithms
A search agent for a Max-2sat memetic algorithm approach
ACACOS'08 Proceedings of the 7th WSEAS International Conference on Applied Computer and Applied Computational Science
Exact Max 2-Sat: Easier and Faster
SOFSEM '07 Proceedings of the 33rd conference on Current Trends in Theory and Practice of Computer Science
Algorithms for Counting 2-Sat Solutions and Colorings with Applications
AAIM '07 Proceedings of the 3rd international conference on Algorithmic Aspects in Information and Management
Eliminating Redundant Clauses in SAT Instances
CPAIOR '07 Proceedings of the 4th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Encodings of Bounded LTL Model Checking in Effectively Propositional Logic
CADE-21 Proceedings of the 21st international conference on Automated Deduction: Automated Deduction
Logical Engineering with Instance-Based Methods
CADE-21 Proceedings of the 21st international conference on Automated Deduction: Automated Deduction
Encoding First Order Proofs in SAT
CADE-21 Proceedings of the 21st international conference on Automated Deduction: Automated Deduction
Architecting Solvers for SAT Modulo Theories: Nelson-Oppen with DPLL
FroCoS '07 Proceedings of the 6th international symposium on Frontiers of Combining Systems
From KSAT to Delayed Theory Combination: Exploiting DPLL Outside the SAT Domain
FroCoS '07 Proceedings of the 6th international symposium on Frontiers of Combining Systems
HSCC '08 Proceedings of the 11th international workshop on Hybrid Systems: Computation and Control
A View from the Engine Room: Computational Support for Symbolic Model Checking
25 Years of Model Checking
Theorem Proving for Verification (Invited Tutorial)
CAV '08 Proceedings of the 20th international conference on Computer Aided Verification
A Study of SAT-Based Branching Heuristics for the CSP
SETN '08 Proceedings of the 5th Hellenic conference on Artificial Intelligence: Theories, Models and Applications
Proof Systems for Effectively Propositional Logic
IJCAR '08 Proceedings of the 4th international joint conference on Automated Reasoning
A Feasibility-Preserving Crossover and Mutation Operator for Constrained Combinatorial Problems
Proceedings of the 10th international conference on Parallel Problem Solving from Nature: PPSN X
Computing All Optimal Solutions in Satisfiability Problems with Preferences
CP '08 Proceedings of the 14th international conference on Principles and Practice of Constraint Programming
Incorporating Learning in Grid-Based Randomized SAT Solving
AIMSA '08 Proceedings of the 13th international conference on Artificial Intelligence: Methodology, Systems, and Applications
On the Relationship between Hybrid Probabilistic Logic Programs and Stochastic Satisfiability
SUM '08 Proceedings of the 2nd international conference on Scalable Uncertainty Management
An approach for extracting a small unsatisfiable core
Formal Methods in System Design
Model checking sequential software programs via mixed symbolic analysis
ACM Transactions on Design Automation of Electronic Systems (TODAES)
SAT Modulo ODE: A Direct SAT Approach to Hybrid Systems
ATVA '08 Proceedings of the 6th International Symposium on Automated Technology for Verification and Analysis
Strength Two Covering Arrays Construction Using a SAT Representation
MICAI '08 Proceedings of the 7th Mexican International Conference on Artificial Intelligence: Advances in Artificial Intelligence
Computing Exact Outcomes of Multi-parameter Attack Trees
OTM '08 Proceedings of the OTM 2008 Confederated International Conferences, CoopIS, DOA, GADA, IS, and ODBASE 2008. Part II on On the Move to Meaningful Internet Systems
Efficient Generation of Unsatisfiability Proofs and Cores in SAT
LPAR '08 Proceedings of the 15th International Conference on Logic for Programming, Artificial Intelligence, and Reasoning
Proving Termination with (Boolean) Satisfaction
Logic-Based Program Synthesis and Transformation
EufDpll - A Tool to Check Satisfiability of Equality Logic Formulas
Electronic Notes in Theoretical Computer Science (ENTCS)
Data Compression for Proof Replay
Journal of Automated Reasoning
A generative power-law search tree model
Computers and Operations Research
Journal of Automated Reasoning
Here's the Beef: Answer Set Programming !
ICLP '08 Proceedings of the 24th International Conference on Logic Programming
ICLP '08 Proceedings of the 24th International Conference on Logic Programming
Present and Future of Practical SAT Solving
Complexity of Constraints
A Unified Framework for Certificate and Compilation for QBF
ICLA '09 Proceedings of the 3rd Indian Conference on Logic and Its Applications
Monitoring and diagnosing software requirements
Automated Software Engineering
Designing Visual Languages for Description Logics
Journal of Logic, Language and Information
Justifications for logic programs under answer set semantics
Theory and Practice of Logic Programming
Checking the quality of clinical guidelines using automated reasoning tools
Theory and Practice of Logic Programming
Extended asp tableaux and rule redundancy in normal logic programs1
Theory and Practice of Logic Programming
SOFIE: a self-organizing framework for information extraction
Proceedings of the 18th international conference on World wide web
Message-passing and local heuristics as decimation strategies for satisfiability
Proceedings of the 2009 ACM symposium on Applied Computing
Goldreich's One-Way Function Candidate and Myopic Backtracking Algorithms
TCC '09 Proceedings of the 6th Theory of Cryptography Conference on Theory of Cryptography
Boolean satisfiability from theoretical hardness to practical success
Communications of the ACM - A Blind Person's Interaction with Technology
Formalization and Implementation of Modern SAT Solvers
Journal of Automated Reasoning
A measure & conquer approach for the analysis of exact algorithms
Journal of the ACM (JACM)
Journal of Experimental & Theoretical Artificial Intelligence
Propagation via lazy clause generation
Constraints
Journal of Computer Science and Technology
Verification Techniques for System-Level Design
Verification Techniques for System-Level Design
Solution Enumeration for Projected Boolean Search Problems
CPAIOR '09 Proceedings of the 6th International Conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
On the Multimodal Logic of Elementary Normative Systems
Proceedings of the 2007 conference on Artificial Intelligence Research and Development
Solving Optimization Problems with DLL
Proceedings of the 2006 conference on ECAI 2006: 17th European Conference on Artificial Intelligence August 29 -- September 1, 2006, Riva del Garda, Italy
Proceedings of the 2006 conference on ECAI 2006: 17th European Conference on Artificial Intelligence August 29 -- September 1, 2006, Riva del Garda, Italy
Boolean Propagation Based on Literals for Quantified Boolean Formulae
Proceedings of the 2006 conference on ECAI 2006: 17th European Conference on Artificial Intelligence August 29 -- September 1, 2006, Riva del Garda, Italy
A Solver for QBFs in Nonprenex Form
Proceedings of the 2006 conference on ECAI 2006: 17th European Conference on Artificial Intelligence August 29 -- September 1, 2006, Riva del Garda, Italy
Hybrid BDD and All-SAT Method for Model Checking
Languages: From Formal to Natural
Generalizing DPLL to Richer Logics
CAV '09 Proceedings of the 21st International Conference on Computer Aided Verification
An Exponential Lower Bound for Width-Restricted Clause Learning
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Boundary Points and Resolution
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Cardinality Networks and Their Applications
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Dynamic Symmetry Breaking by Simulating Zykov Contraction
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Improving Variable Selection Process in Stochastic Local Search for Propositional Satisfiability
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Instance-Based Selection of Policies for SAT Solvers
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
PaQuBE: Distributed QBF Solving with Advanced Knowledge Sharing
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Automated deduction for verification
ACM Computing Surveys (CSUR)
SAT-based answer set programming
AAAI'04 Proceedings of the 19th national conference on Artifical intelligence
Study of lower bound functions for MAX-2-SAT
AAAI'04 Proceedings of the 19th national conference on Artifical intelligence
New inference rules for efficient Max-SAT solving
AAAI'06 Proceedings of the 21st national conference on Artificial intelligence - Volume 1
Fast SAT-based answer set solver
AAAI'06 Proceedings of the 21st national conference on Artificial intelligence - Volume 1
Finding Similar or Diverse Solutions in Answer Set Programming
ICLP '09 Proceedings of the 25th International Conference on Logic Programming
Efficiently Representing Existential Dependency Sets for Expansion-based QBF Solvers
Electronic Notes in Theoretical Computer Science (ENTCS)
Foundations of r-contiguous matching in negative selection for anomaly detection
Natural Computing: an international journal
DC-SSAT: a divide-and-conquer approach to solving stochastic satisfiability problems efficiently
AAAI'05 Proceedings of the 20th national conference on Artificial intelligence - Volume 1
Generation of hard non-clausal random satisfiability problems
AAAI'05 Proceedings of the 20th national conference on Artificial intelligence - Volume 1
SymChaff: a structure-aware satisfiability solver
AAAI'05 Proceedings of the 20th national conference on Artificial intelligence - Volume 1
Performing Bayesian inference by weighted model counting
AAAI'05 Proceedings of the 20th national conference on Artificial intelligence - Volume 1
Approximate counting by sampling the backtrack-free search space
AAAI'07 Proceedings of the 22nd national conference on Artificial intelligence - Volume 1
Interactive configuration with regular string constraints
AAAI'07 Proceedings of the 22nd national conference on Artificial intelligence - Volume 1
AAAI'07 Proceedings of the 22nd national conference on Artificial intelligence - Volume 1
Diagnosis of discrete-event systems using satisfiability algorithms
AAAI'07 Proceedings of the 22nd national conference on Artificial intelligence - Volume 1
An experimental comparison of constraint logic programming and answer set programming
AAAI'07 Proceedings of the 22nd national conference on Artificial intelligence - Volume 2
Refutation by randomised general resolution
AAAI'07 Proceedings of the 22nd national conference on Artificial intelligence - Volume 2
A new incomplete method for CSP inconsistency checking
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 1
Clause learning can effectively P-simulate general propositional resolution
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 1
On the power of top-down branching heuristics
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 1
Computing minimal diagnoses by greedy stochastic search
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 2
A general method for reducing the complexity of relational inference and its application to MCMC
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 2
Symmetric matroid polytopes and their generation
European Journal of Combinatorics
Generalizing Boolean satisfiability I: background and survey of existing work
Journal of Artificial Intelligence Research
Towards understanding and harnessing the potential of clause learning
Journal of Artificial Intelligence Research
Breaking instance-independent symmetries in exact graph coloring
Journal of Artificial Intelligence Research
Clause/term resolution and learning in the evaluation of quantified Boolean formulas
Journal of Artificial Intelligence Research
Journal of Artificial Intelligence Research
New inference rules for Max-SAT
Journal of Artificial Intelligence Research
MINIMAXSAT: an efficient weighted max-SAT solver
Journal of Artificial Intelligence Research
SATzilla: portfolio-based algorithm selection for SAT
Journal of Artificial Intelligence Research
Solving #SAT and Bayesian inference with backtracking search
Journal of Artificial Intelligence Research
Constructing conditional plans by a theorem-prover
Journal of Artificial Intelligence Research
IJCAI'81 Proceedings of the 7th international joint conference on Artificial intelligence - Volume 1
Heuristics based on unit propagation for satisfiability problems
IJCAI'97 Proceedings of the 15th international joint conference on Artifical intelligence - Volume 1
Hidden gold in random generation of SAT satisfiable instances
IJCAI'97 Proceedings of the 15th international joint conference on Artifical intelligence - Volume 1
Reachability, relevance, resolution and the planning as satisfiability approach
IJCAI'99 Proceedings of the 16th international joint conference on Artificial intelligence - Volume 2
Improvements to the evaluation of quantified boolean formulae
IJCAI'99 Proceedings of the 16th international joint conference on Artificial intelligence - Volume 2
GUNSAT: a greedy local search algorithm for unsatisfiability
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
The effect of restarts on the efficiency of clause learning
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
Exploiting inference rules to compute lower bounds for MAX-SAT solving
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
A structure-based variable ordering heuristic for SAT
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
Backbone guided local search for maximum satisfiability
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
Understanding the power of clause learning
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
Journal of Artificial Intelligence Research
A backbone-search heuristic for efficient solving of hard 3-SAT formulae
IJCAI'01 Proceedings of the 17th international joint conference on Artificial intelligence - Volume 1
Backjumping for quantified Boolean logic satisfiability
IJCAI'01 Proceedings of the 17th international joint conference on Artificial intelligence - Volume 1
A simplifier for propositional formulas with many binary clauses
IJCAI'01 Proceedings of the 17th international joint conference on Artificial intelligence - Volume 1
DPLL with a trace: from SAT to knowledge compilation
IJCAI'05 Proceedings of the 19th international joint conference on Artificial intelligence
Three truth values for the SAT and Max-SAT problems
IJCAI'05 Proceedings of the 19th international joint conference on Artificial intelligence
Resolution in Max-SAT and its relation to local consistency in weighted CSPs
IJCAI'05 Proceedings of the 19th international joint conference on Artificial intelligence
Compiling Bayesian networks with local structure
IJCAI'05 Proceedings of the 19th international joint conference on Artificial intelligence
SAT-Solving in Practice, with a Tutorial Example from Supervisory Control
Discrete Event Dynamic Systems
Partition-based logical reasoning for first-order and propositional theories
Artificial Intelligence - Special volume on reformulation
Compiling problem specifications into SAT
Artificial Intelligence - Special volume on reformulation
MaxSolver: An efficient exact algorithm for (weighted) maximum satisfiability
Artificial Intelligence
Processes and continuous change in a SAT-based planner
Artificial Intelligence
Conformant planning via heuristic forward search: A new approach
Artificial Intelligence
Theory decision by decomposition
Journal of Symbolic Computation
Exact multiple-control toffoli network synthesis with SAT techniques
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Control-based clause sharing in parallel SAT solving
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Integrating systematic and local search paradigms: a new strategy for MaxSAT
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
A new d-DNNF-based bound computation algorithm for functional E-MAJSAT
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Hybrid of genetic algorithm and local search to solve MAX-SAT problem using nVidia CUDA framework
Genetic Programming and Evolvable Machines
PN code acquisition using Boolean satisfiability techniques
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
ISICA '09 Proceedings of the 4th International Symposium on Advances in Computation and Intelligence
SAT Encoding and CSP Reduction for Interconnected Alldiff Constraints
MICAI '09 Proceedings of the 8th Mexican International Conference on Artificial Intelligence
Planner9, a HTN Planner Distributed on Groups of Miniature Mobile Robots
ICIRA '09 Proceedings of the 2nd International Conference on Intelligent Robotics and Applications
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Hardware/software partitioning and pipelined scheduling on runtime reconfigurable FPGAs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
The resolution complexity of random graph k-colorability
Discrete Applied Mathematics
Typical case complexity of Satisfiability Algorithms and the threshold phenomenon
Discrete Applied Mathematics
Combining SAT Methods with Non-Clausal Decision Heuristics
Electronic Notes in Theoretical Computer Science (ENTCS)
Bounded Model Generation for Isabelle/HOL
Electronic Notes in Theoretical Computer Science (ENTCS)
Verifying Industrial Hybrid Systems with MathSAT
Electronic Notes in Theoretical Computer Science (ENTCS)
An Incremental Algorithm to Check Satisfiability for Bounded Model Checking
Electronic Notes in Theoretical Computer Science (ENTCS)
Efficient Proof Engines for Bounded Model Checking of Hybrid Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
A collaborative approach for multi-threaded SAT solving
International Journal of Parallel Programming
Adaptive Application of SAT Solving Techniques
Electronic Notes in Theoretical Computer Science (ENTCS)
An Efficient Nelson-Oppen Decision Procedure for Difference Constraints over Rationals
Electronic Notes in Theoretical Computer Science (ENTCS)
On Modern Clause-Learning Satisfiability Solvers
Journal of Automated Reasoning
Relaxed survey propagation for the weighted maximum satisfiability problem
Journal of Artificial Intelligence Research
Friends or foes? on planning as satisfiability and abstract CNF encodings
Journal of Artificial Intelligence Research
Practical algorithms for unsatisfiability proof and core generation in SAT solvers
AI Communications - Practical Aspects of Automated Reasoning
A Groebner bases-based approach to backward reasoning in rule based expert systems
Annals of Mathematics and Artificial Intelligence
SAT-based analysis of feature models is easy
Proceedings of the 13th International Software Product Line Conference
A stochastic non-CNF SAT solver
PRICAI'06 Proceedings of the 9th Pacific Rim international conference on Artificial intelligence
On the effectiveness of looking ahead in search for answer sets
LPNMR'07 Proceedings of the 9th international conference on Logic programming and nonmonotonic reasoning
CSCWD'06 Proceedings of the 10th international conference on Computer supported cooperative work in design III
"Don't care" modeling: a logical framework for developing predictive system models
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
A Gröbner basis approach to CNF-formulae preprocessing
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
A hardware SAT solver using non-chronological backtracking and clause recording without overheads
ARC'07 Proceedings of the 3rd international conference on Reconfigurable computing: architectures, tools and applications
Evolutionary computing for the satisfiability problem
EvoWorkshops'03 Proceedings of the 2003 international conference on Applications of evolutionary computing
The effect of Nogood recording in DPLL-CBJ SAT algorithms
ERCIM'02/CologNet'02 Proceedings of the 2002 Joint ERCIM/CologNet international conference on Constraint solving and constraint logic programming
Solving multi-objective pseudo-boolean problems
SAT'07 Proceedings of the 10th international conference on Theory and applications of satisfiability testing
Bounded universal expansion for preprocessing QBF
SAT'07 Proceedings of the 10th international conference on Theory and applications of satisfiability testing
Effective incorporation of double look-ahead procedures
SAT'07 Proceedings of the 10th international conference on Theory and applications of satisfiability testing
Ant colony optimization with adaptive fitness function for satisfiability testing
WoLLIC'07 Proceedings of the 14th international conference on Logic, language, information and computation
A tutorial on satisfiability modulo theories
CAV'07 Proceedings of the 19th international conference on Computer aided verification
Limitations of restricted branching in clause learning
CP'07 Proceedings of the 13th international conference on Principles and practice of constraint programming
Towards robust CNF encodings of cardinality constraints
CP'07 Proceedings of the 13th international conference on Principles and practice of constraint programming
A multi-engine solver for quantified boolean formulas
CP'07 Proceedings of the 13th international conference on Principles and practice of constraint programming
The log-support encoding of CSP into SAT
CP'07 Proceedings of the 13th international conference on Principles and practice of constraint programming
From horn strong backdoor sets to ordered strong backdoor sets
MICAI'07 Proceedings of the artificial intelligence 6th Mexican international conference on Advances in artificial intelligence
Phase transition and the computational complexity of generating r-contiguous detectors
ICARIS'07 Proceedings of the 6th international conference on Artificial immune systems
Extended ASP tableaux and rule redundancy in normal logic programs
ICLP'07 Proceedings of the 23rd international conference on Logic programming
3-valued circuit SAT for STE with automatic refinement
ATVA'07 Proceedings of the 5th international conference on Automated technology for verification and analysis
Challenges in satisfiability modulo theories
RTA'07 Proceedings of the 18th international conference on Term rewriting and applications
Advances in local search for satisfiability
AI'07 Proceedings of the 20th Australian joint conference on Advances in artificial intelligence
Compressing propositional proofs by common subproof extraction
EUROCAST'07 Proceedings of the 11th international conference on Computer aided systems theory
JaCk-SAT: a new parallel scheme to solve the satisfiability problem (SAT) based on join-and-check
PPAM'07 Proceedings of the 7th international conference on Parallel processing and applied mathematics
Leveraging belief propagation, backtrack search, and statistics for model counting
CPAIOR'08 Proceedings of the 5th international conference on Integration of AI and OR techniques in constraint programming for combinatorial optimization problems
Stochastic satisfiability modulo theories for non-linear arithmetic
CPAIOR'08 Proceedings of the 5th international conference on Integration of AI and OR techniques in constraint programming for combinatorial optimization problems
Variant-based competitive parallel execution of sequential programs
Proceedings of the 7th ACM international conference on Computing frontiers
On the power of clause-learning SAT solvers with restarts
CP'09 Proceedings of the 15th international conference on Principles and practice of constraint programming
On multi-threaded satisfiability solving with OpenMP
IWOMP'08 Proceedings of the 4th international conference on OpenMP in a new era of parallelism
A generalized framework for conflict analysis
SAT'08 Proceedings of the 11th international conference on Theory and applications of satisfiability testing
Random instances of W[2]-complete problems: thresholds, complexity, and algorithms
SAT'08 Proceedings of the 11th international conference on Theory and applications of satisfiability testing
A decision-making procedure for resolution-based SAT-solvers
SAT'08 Proceedings of the 11th international conference on Theory and applications of satisfiability testing
Computation of renameable Horn backdoors
SAT'08 Proceedings of the 11th international conference on Theory and applications of satisfiability testing
Searching for autarkies to trim unsatisfiable clause sets
SAT'08 Proceedings of the 11th international conference on Theory and applications of satisfiability testing
Nenofex: expanding NNF for QBF solving
SAT'08 Proceedings of the 11th international conference on Theory and applications of satisfiability testing
SAT(ID): satisfiability of propositional logic extended with inductive definitions
SAT'08 Proceedings of the 11th international conference on Theory and applications of satisfiability testing
Regular and general resolution: an improved separation
SAT'08 Proceedings of the 11th international conference on Theory and applications of satisfiability testing
Integer optimization by local search: a domain-independent approach
Integer optimization by local search: a domain-independent approach
Inc*: an incremental approach for improving local search heuristics
EvoCOP'08 Proceedings of the 8th European conference on Evolutionary computation in combinatorial optimization
Guided search and a faster deterministic algorithm for 3-SAT
LATIN'08 Proceedings of the 8th Latin American conference on Theoretical informatics
Solving NP-complete problems with quantum search
LATIN'08 Proceedings of the 8th Latin American conference on Theoretical informatics
Generating SAT local-search heuristics using a GP hyper-heuristic framework
EA'07 Proceedings of the Evolution artificielle, 8th international conference on Artificial evolution
Semantic matching: algorithms and implementation
Journal on data semantics IX
Formal Correctness Proof for DPLL Procedure
Informatica
An overview of planning under uncertainty
Artificial intelligence today
Hardness amplification in proof complexity
Proceedings of the forty-second ACM symposium on Theory of computing
Taming the complexity of temporal epistemic reasoning
FroCoS'09 Proceedings of the 7th international conference on Frontiers of combining systems
Putting abox updates into action
FroCoS'09 Proceedings of the 7th international conference on Frontiers of combining systems
Improving Coq propositional reasoning using a lazy CNF conversion scheme
FroCoS'09 Proceedings of the 7th international conference on Frontiers of combining systems
Combining instance generation and resolution
FroCoS'09 Proceedings of the 7th international conference on Frontiers of combining systems
Model checking firewall policy configurations
POLICY'09 Proceedings of the 10th IEEE international conference on Policies for distributed systems and networks
An architecture for adaptive algorithmic hybrids
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics - Special issue on game theory
Scalable formula decomposition for propositional satisfiability
Proceedings of the Third C* Conference on Computer Science and Software Engineering
An AIG-Based QBF-solver using SAT for preprocessing
Proceedings of the 47th Design Automation Conference
Towards scalable system-level reliability analysis
Proceedings of the 47th Design Automation Conference
Parametric quantified SAT solving
Proceedings of the 2010 International Symposium on Symbolic and Algebraic Computation
Proceedings of the 9th International Conference on Autonomous Agents and Multiagent Systems: volume 1 - Volume 1
Efficient generation of craig interpolants in satisfiability modulo theories
ACM Transactions on Computational Logic (TOCL)
New methods for proving the impossibility to solve problems through reduction of problem spaces
Annals of Mathematics and Artificial Intelligence
Solving satisfiability problems with preferences
Constraints
C2O: a tool for guided decision-making
Proceedings of the IEEE/ACM international conference on Automated software engineering
ISAC --Instance-Specific Algorithm Configuration
Proceedings of the 2010 conference on ECAI 2010: 19th European Conference on Artificial Intelligence
Formal Methods in System Design
Randomized constraint solvers: a comparative study
Innovations in Systems and Software Engineering
Making deduction more effective in SAT solvers
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Pushing the envelope: planning, propositional logic, and stochastic search
AAAI'96 Proceedings of the thirteenth national conference on Artificial intelligence - Volume 2
Experimental results on the crossover point in satisfiability problems
AAAI'93 Proceedings of the eleventh national conference on Artificial intelligence
Using CSP look-back techniques to solve real-world SAT instances
AAAI'97/IAAI'97 Proceedings of the fourteenth national conference on artificial intelligence and ninth conference on Innovative applications of artificial intelligence
AAAI'97/IAAI'97 Proceedings of the fourteenth national conference on artificial intelligence and ninth conference on Innovative applications of artificial intelligence
Summarizing CSP hardness with continuous probability distributions
AAAI'97/IAAI'97 Proceedings of the fourteenth national conference on artificial intelligence and ninth conference on Innovative applications of artificial intelligence
Efficient decision ordering techniques for SAT-based test generation
Proceedings of the Conference on Design, Automation and Test in Europe
Proceedings of the Conference on Design, Automation and Test in Europe
Formal verification of a modern SAT solver by shallow embedding into Isabelle/HOL
Theoretical Computer Science
Improving platform-based system synthesis by satisfiability modulo theories solving
CODES/ISSS '10 Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
A 25-year perspective on logic programming
Artificial intelligence search algorithms
Algorithms and theory of computation handbook
SAT as a Programming Environment for Linear Algebra
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Diversification and intensification in parallel SAT solving
CP'10 Proceedings of the 16th international conference on Principles and practice of constraint programming
DLVMC: enhanced model checking in DLV
JELIA'10 Proceedings of the 12th European conference on Logics in artificial intelligence
A SAT-based Method for Solving the Two-dimensional Strip Packing Problem
Fundamenta Informaticae - RCRA 2008 Experimental Evaluation of Algorithms for Solving Problems with Combinatorial Explosion
Integration, the VLSI Journal
Fast set bounds propagation using a BDD-SAT hybrid
Journal of Artificial Intelligence Research
Approximate model-based diagnosis using greedy stochastic search
Journal of Artificial Intelligence Research
Compilation for critically constrained knowledge bases
AAAI'96 Proceedings of the thirteenth national conference on Artificial intelligence - Volume 1
Solving equation systems by agreeing and learning
WAIFI'10 Proceedings of the Third international conference on Arithmetic of finite fields
Extending clause learning of SAT solvers with Boolean Gröbner bases
CASC'10 Proceedings of the 12th international conference on Computer algebra in scientific computing
Artificial Intelligence
The Knowledge Engineering Review
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Measures for unsupervised fuzzy-rough feature selection
International Journal of Hybrid Intelligent Systems - Advances in Intelligent Agent Systems
Diagnosing software using statecharts
Proceedings of the 2010 Conference of the Center for Advanced Studies on Collaborative Research
On the power of clause-learning SAT solvers as resolution engines
Artificial Intelligence
FCUBE: an efficient prover for intuitionistic propositional logic
LPAR'10 Proceedings of the 17th international conference on Logic for programming, artificial intelligence, and reasoning
Boosting local search thanks to CDCL
LPAR'10 Proceedings of the 17th international conference on Logic for programming, artificial intelligence, and reasoning
Improving resource-unaware SAT solvers
LPAR'10 Proceedings of the 17th international conference on Logic for programming, artificial intelligence, and reasoning
Resolution for stochastic Boolean satisfiability
LPAR'10 Proceedings of the 17th international conference on Logic for programming, artificial intelligence, and reasoning
Journal of Automated Reasoning
Semiring-induced propositional logic: definition and basic algorithms
LPAR'10 Proceedings of the 16th international conference on Logic for programming, artificial intelligence, and reasoning
A new artificial immune system for solving the maximum satisfiability problem
IEA/AIE'10 Proceedings of the 23rd international conference on Industrial engineering and other applications of applied intelligent systems - Volume Part II
A Better Algorithm for Random $k$-SAT
SIAM Journal on Computing
Artificial Intelligence Review
The Mechanical Verification of a DPLL-Based Satisfiability Solver
Electronic Notes in Theoretical Computer Science (ENTCS)
Learning and using domain-specific heuristics in ASP solvers
AI Communications - Answer Set Programming
Guarded resolution for answer set programming
Theory and Practice of Logic Programming
Abstract answer set solvers with backjumping and learning
Theory and Practice of Logic Programming
Sat-solving based on boundary point elimination
HVC'10 Proceedings of the 6th international conference on Hardware and software: verification and testing
Generalized craig interpolation for stochastic boolean satisfiability problems
TACAS'11/ETAPS'11 Proceedings of the 17th international conference on Tools and algorithms for the construction and analysis of systems: part of the joint European conferences on theory and practice of software
Satisfiability modulo theories: introduction and applications
Communications of the ACM
Propelling SAT and SAT-based BMC using careset
Proceedings of the 2010 Conference on Formal Methods in Computer-Aided Design
Applications of answer set programming in phylogenetic systematics
Logic programming, knowledge representation, and nonmonotonic reasoning
Explaining the cumulative propagator
Constraints
Beyond unit propagation in SAT solving
SEA'11 Proceedings of the 10th international conference on Experimental algorithms
Decidability and undecidability results for propositional schemata
Journal of Artificial Intelligence Research
Computing small unsatisfiable cores in satisfiability modulo theories
Journal of Artificial Intelligence Research
Exploiting structure in weighted model counting approaches to probabilistic inference
Journal of Artificial Intelligence Research
Finding small backdoors in SAT instances
Canadian AI'11 Proceedings of the 24th Canadian conference on Advances in artificial intelligence
Spatial hardware implementation for sparse graph algorithms in GraphStep
ACM Transactions on Autonomous and Adaptive Systems (TAAS)
Pruning search space for weighted first order horn clause satisfiability
ILP'10 Proceedings of the 20th international conference on Inductive logic programming
Parameterized complexity of DPLL search procedures
SAT'11 Proceedings of the 14th international conference on Theory and application of satisfiability testing
How to apply SAT-solving for the equivalence test of monotone normal forms
SAT'11 Proceedings of the 14th international conference on Theory and application of satisfiability testing
Reducing chaos in SAT-like search: finding solutions close to a given one
SAT'11 Proceedings of the 14th international conference on Theory and application of satisfiability testing
Generalized conflict-clause strengthening for satisfiability solvers
SAT'11 Proceedings of the 14th international conference on Theory and application of satisfiability testing
Empirical study of the anatomy of modern sat solvers
SAT'11 Proceedings of the 14th international conference on Theory and application of satisfiability testing
Applying UCT to boolean satisfiability
SAT'11 Proceedings of the 14th international conference on Theory and application of satisfiability testing
Cutting to the Chase solving linear integer arithmetic
CADE'11 Proceedings of the 23rd international conference on Automated deduction
Solving systems of linear inequalities by bound propagation
CADE'11 Proceedings of the 23rd international conference on Automated deduction
Integration of an LP solver into interval constraint propagation
COCOA'11 Proceedings of the 5th international conference on Combinatorial optimization and applications
On Deciding Satisfiability by Theorem Proving with Speculative Inferences
Journal of Automated Reasoning
Wumpus World in introductory artificial intelligence
Journal of Computing Sciences in Colleges
On the relative efficiency of DPLL and OBDDs with axiom and join
CP'11 Proceedings of the 17th international conference on Principles and practice of constraint programming
A more efficient BDD-based QBF solver
CP'11 Proceedings of the 17th international conference on Principles and practice of constraint programming
ABSO: advanced bee swarm optimization metaheuristic and application to weighted MAX-SAT problem
BI'11 Proceedings of the 2011 international conference on Brain informatics
Monte-carlo style UCT search for boolean satisfiability
AI*IA'11 Proceedings of the 12th international conference on Artificial intelligence around man and beyond
Exploiting macro-actions and predicting plan length in planning as satisfiability
AI*IA'11 Proceedings of the 12th international conference on Artificial intelligence around man and beyond
Craig interpolation in the presence of non-linear constraints
FORMATS'11 Proceedings of the 9th international conference on Formal modeling and analysis of timed systems
MEDI'11 Proceedings of the First international conference on Model and data engineering
Superposition modulo non-linear arithmetic
FroCoS'11 Proceedings of the 8th international conference on Frontiers of combining systems
A bayesian approach to tackling hard computational problems
UAI'01 Proceedings of the Seventeenth conference on Uncertainty in artificial intelligence
Improving SAT modulo ODE for hybrid systems analysis by combining different enclosure methods
SEFM'11 Proceedings of the 9th international conference on Software engineering and formal methods
A Near-Optimal Separation of Regular and General Resolution
SIAM Journal on Computing
Designing safe, reliable systems using scade
ISoLA'04 Proceedings of the First international conference on Leveraging Applications of Formal Methods
PLATYPUS: a platform for distributed answer set solving
LPNMR'05 Proceedings of the 8th international conference on Logic Programming and Nonmonotonic Reasoning
Lookahead in smodels compared to local consistencies in CSP
LPNMR'05 Proceedings of the 8th international conference on Logic Programming and Nonmonotonic Reasoning
Evaluating search strategies and heuristics for efficient answer set programming
AI*IA'05 Proceedings of the 9th conference on Advances in Artificial Intelligence
On finding an inference-proof complete database for controlled query evaluation
DBSEC'06 Proceedings of the 20th IFIP WG 11.3 working conference on Data and Applications Security
Boolean rings for intersection-based satisfiability
LPAR'06 Proceedings of the 13th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Splitting on demand in SAT modulo theories
LPAR'06 Proceedings of the 13th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Extended resolution proofs for conjoining BDDs
CSR'06 Proceedings of the First international computer science conference on Theory and Applications
EuroGP'06 Proceedings of the 9th European conference on Genetic Programming
Parallel resolution of the satisfiability problem (SAT) with OpenMP and MPI
PPAM'05 Proceedings of the 6th international conference on Parallel Processing and Applied Mathematics
OTM'05 Proceedings of the 2005 Confederated international conference on On the Move to Meaningful Internet Systems - Volume >Part I
Value elimination: bayesian inference via backtracking search
UAI'03 Proceedings of the Nineteenth conference on Uncertainty in Artificial Intelligence
Comparing instance generation methods for automated reasoning
TABLEAUX'05 Proceedings of the 14th international conference on Automated Reasoning with Analytic Tableaux and Related Methods
Unit propagation in a tableau framework
TABLEAUX'05 Proceedings of the 14th international conference on Automated Reasoning with Analytic Tableaux and Related Methods
Structure-based algorithms for computing preferred arguments of defeasible knowledge bases
AI'05 Proceedings of the 18th Australian Joint conference on Advances in Artificial Intelligence
A hybrid BDD and SAT finite domain constraint solver
PADL'06 Proceedings of the 8th international conference on Practical Aspects of Declarative Languages
Decision procedures for SAT, SAT modulo theories and beyond. the barcelogictools
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Deciding separation logic formulae by SAT and incremental negative cycle elimination
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Satisfiability checking for PC(ID)
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Pool resolution and its relation to regular resolution and DPLL with clause learning
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Another complete local search method for SAT
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Incremental compilation-to-SAT procedures
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Derandomization of schuler’s algorithm for SAT
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Automatic extraction of functional dependencies
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Algorithms for satisfiability using independent sets of variables
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Using DPLL for efficient OBDD construction
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
CirCUs: a hybrid satisfiability solver
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Search vs. symbolic techniques in satisfiability solving
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
NiVER: non-increasing variable elimination resolution for preprocessing SAT instances
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
March_eq: implementing additional reasoning into an efficient look-ahead SAT solver
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Zchaff2004: an efficient SAT solver
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
The second QBF solvers comparative evaluation
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Finding kernels or solving SAT
Journal of Discrete Algorithms
Managing SAT inconsistencies with HUMUS
Proceedings of the Sixth International Workshop on Variability Modeling of Software-Intensive Systems
Tableau calculi for answer set programming
ICLP'06 Proceedings of the 22nd international conference on Logic Programming
TOAST: applying answer set programming to superoptimisation
ICLP'06 Proceedings of the 22nd international conference on Logic Programming
Alloy+HotCore: a fast approximation to unsat core
ABZ'10 Proceedings of the Second international conference on Abstract State Machines, Alloy, B and Z
Simplifying diagnosis using LSAT: a propositional approach to reasoning from first principles
CPAIOR'05 Proceedings of the Second international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
A SAT-based decision procedure for mixed logical/integer linear problems
CPAIOR'05 Proceedings of the Second international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
An improved Õ(1.234m)-time deterministic algorithm for SAT
ISAAC'05 Proceedings of the 16th international conference on Algorithms and Computation
Solving over-constrained problems with SAT technology
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
A symbolic search based approach for quantified boolean formulas
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
A clause-based heuristic for SAT solvers
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
Effective preprocessing in SAT through variable and clause elimination
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
Local and global complete solution learning methods for QBF
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
Resolution tunnels for improved SAT solver performance
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
A new approach to model counting
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
Speedup techniques utilized in modern SAT solvers
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
FM'05 Proceedings of the 2005 international conference on Formal Methods
Finding rough set reducts with SAT
RSFDGrC'05 Proceedings of the 10th international conference on Rough Sets, Fuzzy Sets, Data Mining, and Granular Computing - Volume Part I
Symbolic system level reliability analysis
Proceedings of the International Conference on Computer-Aided Design
Boolean matching of function vectors with strengthened learning
Proceedings of the International Conference on Computer-Aided Design
Exact thresholds for DPLL on random XOR-SAT and NP-complete extensions of XOR-SAT
Theoretical Computer Science
Solving SAT problems with TA algorithms using constant and dynamic markov chains length
AAIM'05 Proceedings of the First international conference on Algorithmic Applications in Management
Web explanations for semantic heterogeneity discovery
ESWC'05 Proceedings of the Second European conference on The Semantic Web: research and Applications
Inference systems for logical algorithms
FSTTCS '05 Proceedings of the 25th international conference on Foundations of Software Technology and Theoretical Computer Science
Exact algorithms for exact satisfiability and number of perfect matchings
ICALP'06 Proceedings of the 33rd international conference on Automata, Languages and Programming - Volume Part I
Representing paraconsistent reasoning via quantified propositional logic
Inconsistency Tolerance
Verifying multi-agent systems via unbounded model checking
FAABS'04 Proceedings of the Third international conference on Formal Approaches to Agent-Based Systems
Efficient conflict analysis for finding all satisfying assignments of a boolean circuit
TACAS'05 Proceedings of the 11th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Combining decision procedures by (model-)equality propagation
Science of Computer Programming
Logical analysis of hash functions
FroCoS'05 Proceedings of the 5th international conference on Frontiers of Combining Systems
Embedding memoization to the semantic tree search for deciding QBFs
AI'04 Proceedings of the 17th Australian joint conference on Advances in Artificial Intelligence
DPLL(T) with exhaustive theory propagation and its application to difference logic
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
The model evolution calculus with equality
CADE' 20 Proceedings of the 20th international conference on Automated Deduction
Efficient and experimental meta-heuristics for MAX-SAT problems
WEA'05 Proceedings of the 4th international conference on Experimental and Efficient Algorithms
Proof-producing congruence closure
RTA'05 Proceedings of the 16th international conference on Term Rewriting and Applications
Computing weighted solutions in ASP: representation-based method vs. search-based method
Annals of Mathematics and Artificial Intelligence
Using a SAT-solver to schedule sports leagues
Journal of Scheduling
An Instantiation Scheme for Satisfiability Modulo Theories
Journal of Automated Reasoning
Simplification Rules for Intuitionistic Propositional Tableaux
ACM Transactions on Computational Logic (TOCL)
On fast and approximate attack tree computations
ISPEC'10 Proceedings of the 6th international conference on Information Security Practice and Experience
CSR'10 Proceedings of the 5th international conference on Computer Science: theory and Applications
Lower bounds for width-restricted clause learning on small width formulas
SAT'10 Proceedings of the 13th international conference on Theory and Applications of Satisfiability Testing
Automated testing and debugging of SAT and QBF solvers
SAT'10 Proceedings of the 13th international conference on Theory and Applications of Satisfiability Testing
SAT solving with reference points
SAT'10 Proceedings of the 13th international conference on Theory and Applications of Satisfiability Testing
From propositional satisfiability to satisfiability modulo theories
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Determinization of resolution by an algorithm operating on complete assignments
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
A complete random jump strategy with guiding paths
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Lemma learning in SMT on linear constraints
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
On SAT modulo theories and optimization problems
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Fast and flexible difference constraint propagation for DPLL(T)
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Local search for unsatisfiability
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Implementing survey propagation on graphics processing units
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Solving quantified boolean formulas with circuit observability don't cares
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
QBF modeling: exploiting player symmetry for simplicity and efficiency
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
sharpSAT: counting models with advanced component caching and implicit BCP
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Approximate boolean reasoning: foundations and applications in data mining
Transactions on Rough Sets V
Automatic test pattern generation
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
SAT-Based verification methods and applications in hardware verification
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
Building efficient decision procedures on top of SAT solvers
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
Floating-Point verification using theorem proving
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
A pearl on SAT solving in prolog
FLOPS'10 Proceedings of the 10th international conference on Functional and Logic Programming
A decidable class of nested iterated schemata
IJCAR'10 Proceedings of the 5th international conference on Automated Reasoning
Simultaneous SAT-Based model checking of safety properties
HVC'05 Proceedings of the First Haifa international conference on Hardware and Software Verification and Testing
TACAS'06 Proceedings of the 12th international conference on Tools and Algorithms for the Construction and Analysis of Systems
A note on SAT algorithms and proof complexity
Information Processing Letters
Dyna: extending datalog for modern AI
Datalog'10 Proceedings of the First international conference on Datalog Reloaded
The disjunctive datalog system DLV
Datalog'10 Proceedings of the First international conference on Datalog Reloaded
From approximate clausal reasoning to problem hardness
AI'11 Proceedings of the 24th international conference on Advances in Artificial Intelligence
A pearl on SAT and SMT solving in Prolog
Theoretical Computer Science
STOC '12 Proceedings of the forty-fourth annual ACM symposium on Theory of computing
Cell formation in group technology using constraint programming and Boolean satisfiability
Expert Systems with Applications: An International Journal
Model Evolution with equality - Revised and implemented
Journal of Symbolic Computation
Conflict-driven answer set solving: From theory to practice
Artificial Intelligence
iSat: structure visualization for SAT problems
LPAR'12 Proceedings of the 18th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Improving PAWS by the island confinement method
ICAISC'12 Proceedings of the 11th international conference on Artificial Intelligence and Soft Computing - Volume Part II
A weight-balanced branching rule for SAT
Mathematical and Computer Modelling: An International Journal
A practical automata-based technique for reasoning in expressive description logics
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Two
Planning with SAT, admissible heuristics and A*
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Three
Lower bounds for width-restricted clause learning on formulas of small width
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Three
Conflict analysis in mixed integer programming
Discrete Optimization
An overview of parallel SAT solving
Constraints
The Multivariate Algorithmic Revolution and Beyond
Solving disjunctive temporal problems with preferences using maximum satisfiability
AI Communications - 18th RCRA International Workshop on “Experimental evaluation of algorithms for solving problems with combinatorial explosion”
Parallel QBF Solving with Advanced Knowledge Sharing
Fundamenta Informaticae - RCRA 2009 Experimental Evaluation of Algorithms for Solving Problems with Combinatorial Explosion
Look-back Techniques for ASP Programs with Aggregates
Fundamenta Informaticae
Towards parallel direct SAT-based cryptanalysis
PPAM'11 Proceedings of the 9th international conference on Parallel Processing and Applied Mathematics - Volume Part I
Off the trail: re-examining the CDCL algorithm
SAT'12 Proceedings of the 15th international conference on Theory and Applications of Satisfiability Testing
CDCL-based abstract state transition system for coherent logic
CICM'12 Proceedings of the 11th international conference on Intelligent Computer Mathematics
Specification and verification of multi-agent systems
ESSLLI'10 Proceedings of the 2010 conference on ESSLLI 2010, and ESSLLI 2011 conference on Lectures on Logic and Computation
A method for symbolic computation of abstract operations
CAV'12 Proceedings of the 24th international conference on Computer Aided Verification
A comparison of strategies for tolerating inconsistencies during decision-making
Proceedings of the 16th International Software Product Line Conference - Volume 1
Gearing up for effective ASP planning
Correct Reasoning
Davis-Putnam Style Rules for Deciding Property S
Fundamenta Informaticae
A Propositional Programming Environment for Linear Algebra
Fundamenta Informaticae - Topics in Logic, Philosophy and Foundations of Mathematics and Computer Science. In Recognition of Professor Andrzej Grzegorczyk
From Bounded to Unbounded Model Checking for Temporal Epistemic Logic
Fundamenta Informaticae - Multiagent Systems (FAMAS'03)
Checking Reachability Properties for Timed Automata via SAT
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P'2002), Part 2
Using Possibilistic Logic for Modeling Qualitative Decision: ATMS-based Algorithms
Fundamenta Informaticae
Efficient self-learning techniques for SAT-based test generation
Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Planning as satisfiability: Heuristics
Artificial Intelligence
Complete SAT solver based on set theory
ICICA'12 Proceedings of the Third international conference on Information Computing and Applications
Refining restarts strategies for SAT and UNSAT
CP'12 Proceedings of the 18th international conference on Principles and Practice of Constraint Programming
Relating proof complexity measures and practical hardness of SAT
CP'12 Proceedings of the 18th international conference on Principles and Practice of Constraint Programming
Eigenvector centrality in industrial SAT instances
CP'12 Proceedings of the 18th international conference on Principles and Practice of Constraint Programming
A compact encoding of pseudo-boolean constraints into SAT
KI'12 Proceedings of the 35th Annual German conference on Advances in Artificial Intelligence
Knowledge acquisition: Past, present and future
International Journal of Human-Computer Studies
Security margin evaluation of SHA-3 contest finalists through SAT-Based attacks
CISIM'12 Proceedings of the 11th IFIP TC 8 international conference on Computer Information Systems and Industrial Management
Satisfiability solvers are static analysers
SAS'12 Proceedings of the 19th international conference on Static Analysis
On the application of SAT solvers to the test suite minimization problem
SSBSE'12 Proceedings of the 4th international conference on Search Based Software Engineering
Proceedings of the 4th conference on Innovations in Theoretical Computer Science
A logic-algebraic approach to decision taking in a railway interlocking system
Annals of Mathematics and Artificial Intelligence
Cube and conquer: guiding CDCL SAT solvers by lookaheads
HVC'11 Proceedings of the 7th international Haifa Verification conference on Hardware and Software: verification and testing
Multi-threaded asp solving with clasp
Theory and Practice of Logic Programming
C2O configurator: a tool for guided decision-making
Automated Software Engineering
pEvoSAT: a novel permutation based genetic algorithm for solving the boolean satisfiability problem
Proceedings of the 15th annual conference on Genetic and evolutionary computation
Using cross-entropy for satisfiability
Proceedings of the 28th Annual ACM Symposium on Applied Computing
Tableau Calculi for Logic Programs under Answer Set Semantics
ACM Transactions on Computational Logic (TOCL)
Solving RCPSP/max by lazy clause generation
Journal of Scheduling
Efficient SAT-based dynamic compaction and relaxation for longest sensitizable paths
Proceedings of the Conference on Design, Automation and Test in Europe
QF BV model checking with property directed reachability
Proceedings of the Conference on Design, Automation and Test in Europe
Lemma localization: a practical method for downsizing SMT-interpolants
Proceedings of the Conference on Design, Automation and Test in Europe
Optimization techniques for craig interpolant compaction in unbounded model checking
Proceedings of the Conference on Design, Automation and Test in Europe
Strong ETH holds for regular resolution
Proceedings of the forty-fifth annual ACM symposium on Theory of computing
Some trade-off results for polynomial calculus: extended abstract
Proceedings of the forty-fifth annual ACM symposium on Theory of computing
CafeSat: a modern SAT solver for Scala
Proceedings of the 4th Workshop on Scala
Provable configuration planning for wireless sensor networks
Proceedings of the 8th International Conference on Network and Service Management
Parameterized Complexity of DPLL Search Procedures
ACM Transactions on Computational Logic (TOCL)
A bisimulation between DPLL(T) and a proof-search strategy for the focused sequent calculus
Proceedings of the Eighth ACM SIGPLAN international workshop on Logical frameworks & meta-languages: theory & practice
Boolean satisfiability for sequence mining
Proceedings of the 22nd ACM international conference on Conference on information & knowledge management
Theory propagation and rational-trees
Proceedings of the 15th Symposium on Principles and Practice of Declarative Programming
A symbiosis of interval constraint propagation and cylindrical algebraic decomposition
CADE'13 Proceedings of the 24th international conference on Automated Deduction
Decentralized constraint satisfaction
IEEE/ACM Transactions on Networking (TON)
Soundness of inprocessing in clause sharing SAT solvers
SAT'13 Proceedings of the 16th international conference on Theory and Applications of Satisfiability Testing
Exponential separations in a hierarchy of clause learning proof systems
SAT'13 Proceedings of the 16th international conference on Theory and Applications of Satisfiability Testing
On the resolution complexity of graph non-isomorphism
SAT'13 Proceedings of the 16th international conference on Theory and Applications of Satisfiability Testing
Concurrent clause strengthening
SAT'13 Proceedings of the 16th international conference on Theory and Applications of Satisfiability Testing
SAT'13 Proceedings of the 16th international conference on Theory and Applications of Satisfiability Testing
Improving glucose for incremental SAT solving with assumptions: application to MUS extraction
SAT'13 Proceedings of the 16th international conference on Theory and Applications of Satisfiability Testing
Generating non-linear interpolants by semidefinite programming
CAV'13 Proceedings of the 25th international conference on Computer Aided Verification
Complexity issues related to propagation completeness
Artificial Intelligence
Mechanical verification of SAT refutations with extended resolution
ITP'13 Proceedings of the 4th international conference on Interactive Theorem Proving
Finding rough and fuzzy-rough set reducts with SAT
Information Sciences: an International Journal
Just-in-time compilation of knowledge bases
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Implicit learning of common sense for reasoning
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
A generalization of SAT and #SAT for robust policy evaluation
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Satisfiability modulo constraint handling rules (extended abstract)
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
A SAT-based approach to cost-sensitive temporally expressive planning
ACM Transactions on Intelligent Systems and Technology (TIST) - Special Section on Intelligent Mobile Knowledge Discovery and Management Systems and Special Issue on Social Web Mining
The strategy challenge in SMT solving
Automated Reasoning and Mathematics
SMELS: Satisfiability Modulo Equality with Lazy Superposition
Journal of Automated Reasoning
FPGA acceleration of enhanced boolean constraint propagation for SAT solvers
Proceedings of the International Conference on Computer-Aided Design
Relating constraint answer set programming languages and algorithms
Artificial Intelligence
Theory of Computing Systems
Dynamic policy adaptation for inference control of queries to a propositional information system
Journal of Computer Security - DBSec 2011
Hi-index | 48.27 |
The programming of a proof procedure is discussed in connection with trial runs and possible improvements.