Efficient SAT-based dynamic compaction and relaxation for longest sensitizable paths

  • Authors:
  • Matthias Sauer;Sven Reimer;Tobias Schubert;Ilia Polian;Bernd Becker

  • Affiliations:
  • Albert-Ludwigs-Universität Freiburg, Freiburg, Germany;Albert-Ludwigs-Universität Freiburg, Freiburg, Germany;Albert-Ludwigs-Universität Freiburg, Freiburg, Germany;University of Passau, Passau, Germany;Albert-Ludwigs-Universität Freiburg, Freiburg, Germany

  • Venue:
  • Proceedings of the Conference on Design, Automation and Test in Europe
  • Year:
  • 2013

Quantified Score

Hi-index 0.00

Visualization

Abstract

Comprehensive coverage of small-delay faults under massive process variations is achieved when multiple paths through the fault locations are sensitized by the test pair set. Using one test pair per path may lead to impractical test set sizes and test application times due to the large number of near-critical paths in state-of-the-art circuits. We present a novel SAT-based dynamic test-pattern compaction and relaxation method for sensitized paths in sequential and combinational circuits. The method identifies necessary assignments for path sensitization and encodes them as a SAT-instance. An efficient implementation of a bitonic sorting network is used to find test patterns maximizing the number of simultaneously sensitized paths. The compaction is combined with an efficient lifting-based relaxation technique. An innovative implication-based path-conflict analysis is used for a fast identification of conflicting paths. Detailed experimental results demonstrate the applicability and quality of the method for academical and industrial benchmark circuits. Compared to fault dropping the number of patterns is significantly reduced by over 85% on average while at the same time leaving more than 70% of the inputs unspecified.