On the optimal nesting order for computing N-relational joins
ACM Transactions on Database Systems (TODS)
Optimism and consistency in partitioned distributed database systems
ACM Transactions on Database Systems (TODS)
Algebraic Structures with Hard Equivalence and Minimization Problems
Journal of the ACM (JACM)
Incomplete Information in Relational Databases
Journal of the ACM (JACM)
Journal of the ACM (JACM)
Solving low-density subset sum problems
Journal of the ACM (JACM)
ACM Computing Surveys (CSUR)
Decidable problems for powerful programs
Journal of the ACM (JACM)
Feedback vertex sets and cyclically reducible graphs
Journal of the ACM (JACM)
Database partitioning in a cluster of processors
ACM Transactions on Database Systems (TODS)
A simple on-line bin-packing algorithm
Journal of the ACM (JACM)
Cautious transaction schedulers with admission control
ACM Transactions on Database Systems (TODS)
A polynomial algorithm for the min-cut linear arrangement of trees
Journal of the ACM (JACM)
Lower bounds for solving linear diophantine equations on random access machines
Journal of the ACM (JACM)
Partitioning a polygonal region into trapezoids
Journal of the ACM (JACM)
Tuple sequences and lexicographic indexes
Journal of the ACM (JACM)
Sort sets in the relational model
Journal of the ACM (JACM)
A unified approach to approximation algorithms for bottleneck problems
Journal of the ACM (JACM)
Coping with anomalies in parallel branch-and-bound algorithms
IEEE Transactions on Computers - The MIT Press scientific computation series
Graph-Based Algorithms for Boolean Function Manipulation
IEEE Transactions on Computers
Set query optimization in distributed database systems
ACM Transactions on Database Systems (TODS)
Using dual approximation algorithms for scheduling problems theoretical and practical results
Journal of the ACM (JACM)
SCG '86 Proceedings of the second annual symposium on Computational geometry
The synthesis of communication protocols
PODC '86 Proceedings of the fifth annual ACM symposium on Principles of distributed computing
The complexity of optimization problems
STOC '86 Proceedings of the eighteenth annual ACM symposium on Theory of computing
Classifying learnable geometric concepts with the Vapnik-Chervonenkis dimension
STOC '86 Proceedings of the eighteenth annual ACM symposium on Theory of computing
The extraction of a minimum set of semantic primitives from a monolingual dictionary is NP-complete
Computational Linguistics
An Array Layout Methodology for VLSI Circuits
IEEE Transactions on Computers
Multiple Stuck-Fault Detection and Location in Multivalued Linear Circuits
IEEE Transactions on Computers
Deleting completed transactions
PODS '86 Proceedings of the fifth ACM SIGACT-SIGMOD symposium on Principles of database systems
A differential compiler for computer animation
SIGGRAPH '86 Proceedings of the 13th annual conference on Computer graphics and interactive techniques
Scheduling Multiprocessor Tasks to Minimize Schedule Length
IEEE Transactions on Computers
New Classes for Parallel Complexity: A Study of Unification and Other Complete Problems for P
IEEE Transactions on Computers
Algorithmic Aspects of MOS VLSI Switch-Level Simulation with Race Detection
IEEE Transactions on Computers
Exploiting parallelism in a switch-level simulation machine
ISCA '86 Proceedings of the 13th annual international symposium on Computer architecture
A message-based fault diagnosis procedure
SIGCOMM '86 Proceedings of the ACM SIGCOMM conference on Communications architectures & protocols
A public key cryptosystem based on the word problem
Proceedings of CRYPTO 84 on Advances in cryptology
An update on quantum cryptography
Proceedings of CRYPTO 84 on Advances in cryptology
The two-processor scheduling problem is in R-NC
STOC '85 Proceedings of the seventeenth annual ACM symposium on Theory of computing
The parallel complexity of exponentiating polynomials over finite fields
STOC '85 Proceedings of the seventeenth annual ACM symposium on Theory of computing
An O(ln n) parallel algorithm for the subset sum problem
ACM SIGACT News
On the NP-completeness of cryptarithms
ACM SIGACT News
The cookie cutter algorithm for handling mixed hierarchy
ACM SIGDA Newsletter
The strong exponential hierarchy collapses
STOC '87 Proceedings of the nineteenth annual ACM symposium on Theory of computing
Constructing disjoint paths on expander graphs
STOC '87 Proceedings of the nineteenth annual ACM symposium on Theory of computing
On the learnability of Boolean formulae
STOC '87 Proceedings of the nineteenth annual ACM symposium on Theory of computing
STOC '87 Proceedings of the nineteenth annual ACM symposium on Theory of computing
Computing covers for embedded functional dependencies
PODS '87 Proceedings of the sixth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Mapping data flow programs on a VLSI array of processors
ISCA '87 Proceedings of the 14th annual international symposium on Computer architecture
Optimization models for configuring distributed computer systems
IEEE Transactions on Computers
Processor Allocation for Horizontal and Vertical Parallelism and Related Speedup Bounds
IEEE Transactions on Computers
Matching, unification and complexity
ACM SIGSAM Bulletin
How to prove all NP-statements in zero-knowledge, and a methodology of cryptographic protocol design
Proceedings on Advances in cryptology---CRYPTO '86
A model to order the encryption algorithms according to their quality
ACM SIGCOMM Computer Communication Review
Standard cell placement using simulated sintering
DAC '87 Proceedings of the 24th ACM/IEEE Design Automation Conference
On the verification of sequential machines at differing levels of abstraction
DAC '87 Proceedings of the 24th ACM/IEEE Design Automation Conference
A dynamic programming approach to the test point insertion problem
DAC '87 Proceedings of the 24th ACM/IEEE Design Automation Conference
On the representation and querying of sets of possible worlds
SIGMOD '87 Proceedings of the 1987 ACM SIGMOD international conference on Management of data
Nearest-neighbor mapping of finite element graphs onto processor meshes
IEEE Transactions on Computers
Guided self-scheduling: A practical scheduling scheme for parallel supercomputers
IEEE Transactions on Computers
Out of Flatland: towards 3-D visual programming
ACM '87 Proceedings of the 1987 Fall Joint Computer Conference on Exploring technology: today and tomorrow
The complexity of searching a graph
Journal of the ACM (JACM)
Some distributions that allow perfect packing
Journal of the ACM (JACM)
Fast algorithms for N-dimensional restrictions of hard problems
Journal of the ACM (JACM)
Nonconstructive tools for proving polynomial-time decidability
Journal of the ACM (JACM)
The parallel complexity of exponentiating polynomials over finite fields
Journal of the ACM (JACM)
Data allocation in distributed database systems
ACM Transactions on Database Systems (TODS)
Distributed Diagnosis and the System User
IEEE Transactions on Computers
Processor Utilization in a Linearly Connected Parallel Processing System
IEEE Transactions on Computers
Layer Assignment Problem for Three-Layer Routing
IEEE Transactions on Computers
A Synthesis Algorithm for Reconfigurable Interconnection Networks
IEEE Transactions on Computers
On Two-Dimensional Via Assignment for Single-Row Routing
IEEE Transactions on Computers
A digital multisignature scheme using bijective public-key cryptosystems
ACM Transactions on Computer Systems (TOCS)
Learning Dominance Relations in Combined Search Problems
IEEE Transactions on Software Engineering
ACM SIGACT News
Data functions, datalog and negation
SIGMOD '88 Proceedings of the 1988 ACM SIGMOD international conference on Management of data
Design of Crosspoint-Irredundant PLAs Using Minimal Number of Control Inputs
IEEE Transactions on Computers
Efficient Branch-and-Bound Algorithms on a Two-Level Memory System
IEEE Transactions on Software Engineering
Bounding the Maximum Size of a Packet Radio Network
IEEE Transactions on Computers
An Eigendecomposition Approach to Weighted Graph Matching Problems
IEEE Transactions on Pattern Analysis and Machine Intelligence
Safety consideration for storage allocation optimizations
PLDI '88 Proceedings of the ACM SIGPLAN 1988 conference on Programming Language design and Implementation
PLDI '88 Proceedings of the ACM SIGPLAN 1988 conference on Programming Language design and Implementation
Software pipelining: an effective scheduling technique for VLIW machines
PLDI '88 Proceedings of the ACM SIGPLAN 1988 conference on Programming Language design and Implementation
Partitioning programs for parallel execution
ICS '88 Proceedings of the 2nd international conference on Supercomputing
Introducing symbolic problem solving techniques in the dependence testing phases of a vectorizer
ICS '88 Proceedings of the 2nd international conference on Supercomputing
Synchronization using counting semaphores
ICS '88 Proceedings of the 2nd international conference on Supercomputing
Public quadratic polynomial-tuples for efficient signature-verification and message-encryption
Lecture Notes in Computer Science on Advances in Cryptology-EUROCRYPT'88
Evidence-Based Recognition of 3-D Objects
IEEE Transactions on Pattern Analysis and Machine Intelligence
Heuristics for Join Processing Using Nonclustered Indexes
IEEE Transactions on Software Engineering
Optimizing Joins in Fragmented Database Systems on a Broadcast Local Network
IEEE Transactions on Software Engineering
Scheduling expressions on a pipelined processor with a maximal delay of one cycle
ACM Transactions on Programming Languages and Systems (TOPLAS)
A unified framework for race analysis of asynchronous networks
Journal of the ACM (JACM)
Communication-sensitive heuristics and algorithms for mapping compilers
PPEALS '88 Proceedings of the ACM/SIGPLAN conference on Parallel programming: experience with applications, languages and systems
Compile-time techniques for efficient utilization of parallel memories
PPEALS '88 Proceedings of the ACM/SIGPLAN conference on Parallel programming: experience with applications, languages and systems
Optimization, approximation, and complexity classes
STOC '88 Proceedings of the twentieth annual ACM symposium on Theory of computing
Optimal algorithms for approximate clustering
STOC '88 Proceedings of the twentieth annual ACM symposium on Theory of computing
A graph-oriented mapping strategy for a hypercube
C3P Proceedings of the third conference on Hypercube concurrent computers and applications: Architecture, software, computer systems, and general issues - Volume 1
Load balancing loosely synchronous problems with a neural network
C3P Proceedings of the third conference on Hypercube concurrent computers and applications: Architecture, software, computer systems, and general issues - Volume 1
Distributed multi-destination routing in hypercube multiprocessors
C3P Proceedings of the third conference on Hypercube concurrent computers and applications: Architecture, software, computer systems, and general issues - Volume 1
On allocating subcubes in a hypercube multiprocessor
C3P Proceedings of the third conference on Hypercube concurrent computers and applications: Architecture, software, computer systems, and general issues - Volume 1
On approximation algorithms for microcode bit minimization
MICRO 21 Proceedings of the 21st annual workshop on Microprogramming and microarchitecture
Fault tolerant distributed services
PODC '88 Proceedings of the seventh annual ACM Symposium on Principles of distributed computing
Computing on an anonymous network
PODC '88 Proceedings of the seventh annual ACM Symposium on Principles of distributed computing
Type inference in a database programming language
LFP '88 Proceedings of the 1988 ACM conference on LISP and functional programming
25 years of DAC Papers on Twenty-five years of electronic design automation
Sehwa: A program for synthesis of pipelines
25 years of DAC Papers on Twenty-five years of electronic design automation
Computational limitations on learning from examples
Journal of the ACM (JACM)
On the Complexity of Single Fault Set Diagnosability and Diagnosis Problems
IEEE Transactions on Computers
Diagnosis and Repair of Memory with Coupling Faults
IEEE Transactions on Computers
The de Bruijn Multiprocessor Network: A Versatile Parallel Processing and Sorting Network for VLSI
IEEE Transactions on Computers
Multiple-Way Network Partitioning
IEEE Transactions on Computers
Synthesis of Mutual Exclusion Solutions Based on Binary Semaphores
IEEE Transactions on Software Engineering
Via Assignment in Single-Row Routing
IEEE Transactions on Computers
On accessing object-oriented databases: expressive power, complexity, and restrictions
SIGMOD '89 Proceedings of the 1989 ACM SIGMOD international conference on Management of data
The Parallel Assignment Problem Redefined
IEEE Transactions on Software Engineering
A Note on Detecting Sneak Paths in Transistor Networks
IEEE Transactions on Computers
The Complexity of Generating Minimum Test Sets for PLA's and Monotone Combinational Circuits
IEEE Transactions on Computers
IEEE Transactions on Computers
A Note on Nondeterminism in Small, Fast Parallel Computers
IEEE Transactions on Computers
Concurrency in heavily loaded neighborhood-constrained systems
ACM Transactions on Programming Languages and Systems (TOPLAS)
Models for the Combined Logical and Physical Design of Databases
IEEE Transactions on Computers
Improved Techniques for Estimating Signal Probabilities
IEEE Transactions on Computers
An efficient parallel algorithm for computing a large independent set in a plan graph
SPAA '89 Proceedings of the first annual ACM symposium on Parallel algorithms and architectures
On the theory of average case complexity
STOC '89 Proceedings of the twenty-first annual ACM symposium on Theory of computing
The minimum consistent DFA problem cannot be approximated within and polynomial
STOC '89 Proceedings of the twenty-first annual ACM symposium on Theory of computing
Crytographic limitations on learning Boolean formulae and finite automata
STOC '89 Proceedings of the twenty-first annual ACM symposium on Theory of computing
On search decision and the efficiency of polynomial-time algorithms
STOC '89 Proceedings of the twenty-first annual ACM symposium on Theory of computing
Edge concentration: a method for clustering directed graphs
SCM '89 Proceedings of the 2nd International Workshop on Software configuration management
Covering orthogonal polygons with star polygons: the perfect graph approach
SCG '88 Proceedings of the fourth annual symposium on Computational geometry
Motion planning in the presence of movable obstacles
SCG '88 Proceedings of the fourth annual symposium on Computational geometry
Optimal code generation for expression trees: an application BURS theory
POPL '88 Proceedings of the 15th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Complexity of query processing in databases with OR-objects
PODS '89 Proceedings of the eighth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Horn tables-an efficient tool for handling incomplete information in databases
PODS '89 Proceedings of the eighth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Hypothetical datalog negation and linear recursion
PODS '89 Proceedings of the eighth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
A logic for object-oriented logic programming
PODS '89 Proceedings of the eighth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Bounded diameter minimum spanning trees and related problems
SCG '89 Proceedings of the fifth annual symposium on Computational geometry
On the difficulty of tetrahedralizing 3-dimensional non-convex polyhedra
SCG '89 Proceedings of the fifth annual symposium on Computational geometry
Locating functional errors in logic circuits
DAC '89 Proceedings of the 26th ACM/IEEE Design Automation Conference
The layout synthesizer: an automatic Netlist-to-Layout system
DAC '89 Proceedings of the 26th ACM/IEEE Design Automation Conference
Solutions to the module orientation and rotation problems by neural computation networks
DAC '89 Proceedings of the 26th ACM/IEEE Design Automation Conference
A massively parallel algorithm for fault simulation on the connection machine
DAC '89 Proceedings of the 26th ACM/IEEE Design Automation Conference
DAC '89 Proceedings of the 26th ACM/IEEE Design Automation Conference
An evolution-based approach to partitioning ASIC systems
DAC '89 Proceedings of the 26th ACM/IEEE Design Automation Conference
A differential-equations approach to functional equivalence
ISSAC '89 Proceedings of the ACM-SIGSAM 1989 international symposium on Symbolic and algebraic computation
Register allocation via clique separators
PLDI '89 Proceedings of the ACM SIGPLAN 1989 Conference on Programming language design and implementation
Interprocessor communication speed and performance in distributed-memory parallel processors
ISCA '89 Proceedings of the 16th annual international symposium on Computer architecture
Integrating multiple specifications using domain goals
IWSSD '89 Proceedings of the 5th international workshop on Software specification and design
Polymorphic unification and ML typing
POPL '89 Proceedings of the 16th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
On optimal loop parallelization
MICRO 22 Proceedings of the 22nd annual workshop on Microprogramming and microarchitecture
Minimum Distance: A Method for Partitioning Recurrences for Multiprocessors
IEEE Transactions on Computers
The Via Minimization Problem is NP-Complete
IEEE Transactions on Computers
Allocating Modules to Processors in a Distributed System
IEEE Transactions on Software Engineering
Efficient circuit partitioning algorithms for parallel logic simulation
Proceedings of the 1989 ACM/IEEE conference on Supercomputing
A transaction-based approach to relational database specification
Journal of the ACM (JACM)
Passes, sweeps, and visits in attribute grammars
Journal of the ACM (JACM)
Learnability and the Vapnik-Chervonenkis dimension
Journal of the ACM (JACM)
Multicast tree construction in bus-based networks
Communications of the ACM
On the Complexity of Scheduling Problems for Parallel/Pipelined Machines
IEEE Transactions on Computers
Integer programming vs. expert systems: an experimental comparison
Communications of the ACM
Some Results of the Earliest Deadline Scheduling Algorithm
IEEE Transactions on Software Engineering
Apologizing versus asking permission: optimistic concurrency control for abstract data types
ACM Transactions on Database Systems (TODS)
Scheduling Processes with Release Times, Deadlines, Precedence and Exclusion Relations
IEEE Transactions on Software Engineering
On the Number of Acceptable Task Assignments in Distributed Computing Systems
IEEE Transactions on Computers
Crossing Minimization in Linear Embeddings of Graphs
IEEE Transactions on Computers
Polynomial-time implication problems for unary inclusion dependencies
Journal of the ACM (JACM)
Nondeterministic polynomial-time computations and models of arithmetic
Journal of the ACM (JACM)
Unifying Maximum Cut and Minimum Cut of a Planar Graph
IEEE Transactions on Computers
A study of scalar compilation techniques for pipelined supercomputers
ACM Transactions on Mathematical Software (TOMS)
Computational Complexity of Controllability/Observability Problems for Combinational Circuits
IEEE Transactions on Computers
The Ballast Methodology for Structured Partial Scan Design
IEEE Transactions on Computers
Effective resource utilization for multiprocessor join execution
VLDB '89 Proceedings of the 15th international conference on Very large data bases
Non-interactive zero-knowledge with preprocessing
CRYPTO '88 Proceedings on Advances in cryptology
CRYPTO '88 Proceedings on Advances in cryptology
On generating solved instances of computational problems
CRYPTO '88 Proceedings on Advances in cryptology
On Computing Signal Probability and Detection Probability of Stuck-At Faults
IEEE Transactions on Computers
Strategies for the Prevention of Communication Deadlocks in Distributed Parallel Programs
IEEE Transactions on Software Engineering
Unification in primal algebras, their powers and their varieties
Journal of the ACM (JACM)
Finding compact coordinate representations for polygons and polyhedra
SCG '90 Proceedings of the sixth annual symposium on Computational geometry
Reconstructing sets from interpoint distances (extended abstract)
SCG '90 Proceedings of the sixth annual symposium on Computational geometry
Diagnosis, parsimony, and genetic algorithms
IEA/AIE '90 Proceedings of the 3rd international conference on Industrial and engineering applications of artificial intelligence and expert systems - Volume 1
Parsing semantic dependencies in associative networks
IEA/AIE '90 Proceedings of the 3rd international conference on Industrial and engineering applications of artificial intelligence and expert systems - Volume 1
Coordinating first-order multiparty interactions
POPL '91 Proceedings of the 18th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
On the complexity of local search
STOC '90 Proceedings of the twenty-second annual ACM symposium on Theory of computing
STOC '90 Proceedings of the twenty-second annual ACM symposium on Theory of computing
Negotiation behavior during requirements specification
ICSE '90 Proceedings of the 12th international conference on Software engineering
CSC '90 Proceedings of the 1990 ACM annual conference on Cooperation
Using graph distance in object recognition
CSC '90 Proceedings of the 1990 ACM annual conference on Cooperation
Scheduling Periodic Jobs that Allow Imprecise Results
IEEE Transactions on Computers
The complexity of operations on a fragmented relation
ACM Transactions on Database Systems (TODS)
Checking computations in polylogarithmic time
STOC '91 Proceedings of the twenty-third annual ACM symposium on Theory of computing
Proof of the 4/3 conjecture for preemptive vs. nonpreemptive two-processor scheduling
STOC '91 Proceedings of the twenty-third annual ACM symposium on Theory of computing
Linear approximation of shortest superstrings
STOC '91 Proceedings of the twenty-third annual ACM symposium on Theory of computing
Algorithms for parallel k-vertex connectivity and sparse certificates
STOC '91 Proceedings of the twenty-third annual ACM symposium on Theory of computing
Modularity of cycles and paths in graphs
Journal of the ACM (JACM)
Optimizing equijoin queries in distributed databases where relations are hash partitioned
ACM Transactions on Database Systems (TODS)
Sequential Diagnosability is Co-NP Complete
IEEE Transactions on Computers
Optimal Partitioning for Classification and Regression Trees
IEEE Transactions on Pattern Analysis and Machine Intelligence
Finding compact coordinate representations for polygons and polyhedra
IBM Journal of Research and Development
A parallel genetic algorithm for the graph partitioning problem
ICS '91 Proceedings of the 5th international conference on Supercomputing
A packing problem with applications to lettering of maps
SCG '91 Proceedings of the seventh annual symposium on Computational geometry
Proceedings of the 1990 ACM/IEEE conference on Supercomputing
Proceedings of the 1990 ACM/IEEE conference on Supercomputing
A Pre-Run-Time Scheduling Algorithm for Hard Real-Time Systems
IEEE Transactions on Software Engineering
Composite Programs: Hierarchical Construction, Circularity, and Deadlocks
IEEE Transactions on Software Engineering
EUROCRYPT '90 Proceedings of the workshop on the theory and application of cryptographic techniques on Advances in cryptology
A timed Petri-net model for fine-grain loop scheduling
PLDI '91 Proceedings of the ACM SIGPLAN 1991 conference on Programming language design and implementation
Linear-time, optimal code scheduling for delayed-load architectures
PLDI '91 Proceedings of the ACM SIGPLAN 1991 conference on Programming language design and implementation
An algorithm to compute the supremum of max-min powers and a property of fuzzy graphs
Pattern Recognition Letters
The Orientation of Modules Based on Graph Decomposition
IEEE Transactions on Computers
Generalization of Min-Cut Partitioning to Tree Structures and its Applications
IEEE Transactions on Computers
Subcube Allocation in Hypercube Computers
IEEE Transactions on Computers
Increased Throughput for the Testing and Repair of RAMs with Redundancy
IEEE Transactions on Computers
Static Rate-Optimal Scheduling of Iterative Data-Flow Programs Via Optimum Unfolding
IEEE Transactions on Computers
Approximation and Intractability Results for the Maximum Cut Problem and Its Variants
IEEE Transactions on Computers
Incomplete object—a data model for design and planning applications
SIGMOD '91 Proceedings of the 1991 ACM SIGMOD international conference on Management of data
Journal of the ACM (JACM)
Coping with friction for non-penetrating rigid body simulation
Proceedings of the 18th annual conference on Computer graphics and interactive techniques
On the allocation of documents in multiprocessor information retrieval systems
SIGIR '91 Proceedings of the 14th annual international ACM SIGIR conference on Research and development in information retrieval
Implementing hypertext database relationships through aggregations and exception
HYPERTEXT '91 Proceedings of the third annual ACM conference on Hypertext
On satisfying timing constraints in hard-real-time systems
SIGSOFT '91 Proceedings of the conference on Software for citical systems
Stochastic evolution: a fast effective heuristic for some generic layout problems
DAC '90 Proceedings of the 27th ACM/IEEE Design Automation Conference
A data path layout assembler for high performance DSP circuits
DAC '90 Proceedings of the 27th ACM/IEEE Design Automation Conference
Algorithms for library-specific sizing of combinational logic
DAC '90 Proceedings of the 27th ACM/IEEE Design Automation Conference
DAC '90 Proceedings of the 27th ACM/IEEE Design Automation Conference
A channel/switchbox definition algorithm for building-block layout
DAC '90 Proceedings of the 27th ACM/IEEE Design Automation Conference
Genetic algorithms and instruction scheduling
MICRO 24 Proceedings of the 24th annual international symposium on Microarchitecture
Undirected Graph Models for System-Level Fault Diagnosis
IEEE Transactions on Computers
A fast static scheduling algorithm for DAGs on an unbounded number of processors
Proceedings of the 1991 ACM/IEEE conference on Supercomputing
IEEE Transactions on Computers - Special issue on artificial neural networks
Using controlled experiments in layout
ACM SIGDA Newsletter
Chortle-crf: Fast technology mapping for lookup table-based FPGAs
DAC '91 Proceedings of the 28th ACM/IEEE Design Automation Conference
On minimizing the number of L-shaped channels
DAC '91 Proceedings of the 28th ACM/IEEE Design Automation Conference
A general purpose multiple way partitioning algorithm
DAC '91 Proceedings of the 28th ACM/IEEE Design Automation Conference
Complexity results and algorithms for {
SODA '91 Proceedings of the second annual ACM-SIAM symposium on Discrete algorithms
Improved approximation algorithms for shop scheduling problems
SODA '91 Proceedings of the second annual ACM-SIAM symposium on Discrete algorithms
On finding minimal 2-connected subgraphs
SODA '91 Proceedings of the second annual ACM-SIAM symposium on Discrete algorithms
Space-bounded probabilistic game automata
Journal of the ACM (JACM)
The complexity of multiway cuts (extended abstract)
STOC '92 Proceedings of the twenty-fourth annual ACM symposium on Theory of computing
Graph decomposition is NPC - a complete proof of Holyer's conjecture
STOC '92 Proceedings of the twenty-fourth annual ACM symposium on Theory of computing
Asymptomatic conditional probabilities for first-order logic
STOC '92 Proceedings of the twenty-fourth annual ACM symposium on Theory of computing
Efficient fault tolerant algorithms for resource allocation in distributed systems
STOC '92 Proceedings of the twenty-fourth annual ACM symposium on Theory of computing
The history and status of the P versus NP question
STOC '92 Proceedings of the twenty-fourth annual ACM symposium on Theory of computing
Target shooting with programmed random variables
STOC '92 Proceedings of the twenty-fourth annual ACM symposium on Theory of computing
Biconnectivity approximations and graph carvings
STOC '92 Proceedings of the twenty-fourth annual ACM symposium on Theory of computing
e-approximations with minimum packing constraint violation (extended abstract)
STOC '92 Proceedings of the twenty-fourth annual ACM symposium on Theory of computing
Performance modeling of a partitionable circular bus network for distributed systems
SAC '92 Proceedings of the 1992 ACM/SIGAPP symposium on Applied computing: technological challenges of the 1990's
The concurrency control problem in multidatabases: characteristics and solutions
SIGMOD '92 Proceedings of the 1992 ACM SIGMOD international conference on Management of data
A theory for memory-based learning
COLT '92 Proceedings of the fifth annual workshop on Computational learning theory
On exact specification by examples
COLT '92 Proceedings of the fifth annual workshop on Computational learning theory
Toward efficient agnostic learning
COLT '92 Proceedings of the fifth annual workshop on Computational learning theory
Robust trainability of single neurons
COLT '92 Proceedings of the fifth annual workshop on Computational learning theory
Efficient local search for very large-scale satisfiability problems
ACM SIGART Bulletin
Analyzing algorithms by simulation: variance reduction techniques and simulation speedups
ACM Computing Surveys (CSUR)
Complexity of Fault Diagnosis in Comparison Models
IEEE Transactions on Computers
Abstractions of Finite-State Machines and Immediately-Detectable Output Faults
IEEE Transactions on Computers
Bottleneck Steiner Trees in the Plane
IEEE Transactions on Computers
3-D Shape Recovery Using Distributed Aspect Matching
IEEE Transactions on Pattern Analysis and Machine Intelligence - Special issue on interpretation of 3-D scenes—part II
IEEE Transactions on Pattern Analysis and Machine Intelligence
Structural and behavioral equivalence of simulation models
ACM Transactions on Modeling and Computer Simulation (TOMACS)
SIGCSE '92 Proceedings of the twenty-third SIGCSE technical symposium on Computer science education
The possibility and the complexity of achieving fault-tolerant coordination
PODC '92 Proceedings of the eleventh annual ACM symposium on Principles of distributed computing
Test Scheduling in High Performance VLSI System Implementations
IEEE Transactions on Computers
Queries are easier than you thought (probably)
PODS '92 Proceedings of the eleventh ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
On the complexity of propositional knowledge base revision, updates, and counterfactuals
PODS '92 Proceedings of the eleventh ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
The minimum consistent DFA problem cannot be approximated within any polynomial
Journal of the ACM (JACM)
On the approximation of maximum satisfiability
SODA '92 Proceedings of the third annual ACM-SIAM symposium on Discrete algorithms
Approximating the minimum weight triangulation
SODA '92 Proceedings of the third annual ACM-SIAM symposium on Discrete algorithms
Computing minimal spanning subgraphs in linear time
SODA '92 Proceedings of the third annual ACM-SIAM symposium on Discrete algorithms
Separation and approximation of polyhedral objects
SODA '92 Proceedings of the third annual ACM-SIAM symposium on Discrete algorithms
Approximating the minimum degree spanning tree to within one from the optimal degree
SODA '92 Proceedings of the third annual ACM-SIAM symposium on Discrete algorithms
Optimal dynamic scheduling of task tree on constant-dimensional architectures
SPAA '92 Proceedings of the fourth annual ACM symposium on Parallel algorithms and architectures
ConstraintLisp: an object-oriented constraint programming language
ACM SIGPLAN Notices
IDRP protocol analysis: storage complexity
ACM SIGCOMM Computer Communication Review
On-line Steiner trees in the Euclidean plane
SCG '92 Proceedings of the eighth annual symposium on Computational geometry
Interactive constraint-based search and replace
CHI '92 Proceedings of the SIGCHI Conference on Human Factors in Computing Systems
A comprehensive study of the complexity of multiparty interaction
POPL '92 Proceedings of the 19th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Register requirements of pipelined processors
ICS '92 Proceedings of the 6th international conference on Supercomputing
PETINA—tour generation using the ElipSys inference system
SAC '92 Proceedings of the 1992 ACM/SIGAPP Symposium on Applied computing: technological challenges of the 1990's
Serial and Parallel Algorithms for the Medial Axis Transform
IEEE Transactions on Pattern Analysis and Machine Intelligence
Scheduling algorithms for multi-hop radio networks
SIGCOMM '92 Conference proceedings on Communications architectures & protocols
Journal of the ACM (JACM)
Efficient dataflow analysis of logic programs
Journal of the ACM (JACM)
The membership problem in aperiodic transformation monoids
Journal of the ACM (JACM)
Simple Bounds on Serial Signature Analysis Aliasing for Random Testing
IEEE Transactions on Computers - Special issue on fault-tolerant computing
On the Complexity of Two Circle Strongly Connecting Problems
IEEE Transactions on Computers
Traffic Routing for Multicomputer Networks with Virtual Cut-Through Capability
IEEE Transactions on Computers
A Polynomial Algorithm for Balancing Acyclic Data Flow Graphs
IEEE Transactions on Computers
On Self-Diagnosable Multiprocessor Systems: Diagnosis by the Comparison Approach
IEEE Transactions on Computers
A study of partitioned vector register files
Proceedings of the 1992 ACM/IEEE conference on Supercomputing
Proceedings of the 1992 ACM/IEEE conference on Supercomputing
On the circuit implementation problem
DAC '92 Proceedings of the 29th ACM/IEEE Design Automation Conference
Recontamination does not help to search a graph
Journal of the ACM (JACM)
A methodology for controlling the size of a test suite
ACM Transactions on Software Engineering and Methodology (TOSEM)
A metric time-point and duration-based temporal model
ACM SIGART Bulletin
Scheduling algorithms for multihop radio networks
IEEE/ACM Transactions on Networking (TON)
Semantic representations and query languages for or-sets
PODS '93 Proceedings of the twelfth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Optimization of real conjunctive queries
PODS '93 Proceedings of the twelfth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Blocking for external graph searching
PODS '93 Proceedings of the twelfth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Automatic array alignment in data-parallel programs
POPL '93 Proceedings of the 20th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
ACM Transactions on Graphics (TOG)
Multicast routing for multimedia communication
IEEE/ACM Transactions on Networking (TON)
Area optimization of simple polygons
SCG '93 Proceedings of the ninth annual symposium on Computational geometry
Long non-crossing configurations in the plane
SCG '93 Proceedings of the ninth annual symposium on Computational geometry
On the intrinsic rent parameter and spectra-based partitioning methodologies
EURO-DAC '92 Proceedings of the conference on European design automation
State assignment for hardwired VLSI control units
ACM Computing Surveys (CSUR)
Dynamic trajectory routing using an adaptive search method
SAC '93 Proceedings of the 1993 ACM/SIGAPP symposium on Applied computing: states of the art and practice
The effect of population structure on the rate of convergence of genetic algorithms
SAC '93 Proceedings of the 1993 ACM/SIGAPP symposium on Applied computing: states of the art and practice
Minimal cost set covering using probabilistic methods
SAC '93 Proceedings of the 1993 ACM/SIGAPP symposium on Applied computing: states of the art and practice
A hybrid genetic algorithm for an NP-complete problem with an expensive evaluation function
SAC '93 Proceedings of the 1993 ACM/SIGAPP symposium on Applied computing: states of the art and practice
DAC '93 Proceedings of the 30th international Design Automation Conference
Resolving signal correlations for estimating maximum currents in CMOS combinational circuits
DAC '93 Proceedings of the 30th international Design Automation Conference
Optimal graph constraint reduction for symbolic layout compaction
DAC '93 Proceedings of the 30th international Design Automation Conference
A clustering-based optimization algorithm in zero-skew routings
DAC '93 Proceedings of the 30th international Design Automation Conference
BDD based decomposition of logic functions with application to FPGA synthesis
DAC '93 Proceedings of the 30th international Design Automation Conference
Supporting sets of arbitrary connections on iWarp through communication context switches
SPAA '93 Proceedings of the fifth annual ACM symposium on Parallel algorithms and architectures
SIGGRAPH '93 Proceedings of the 20th annual conference on Computer graphics and interactive techniques
ROBUST: a hardware solution to real-time overload
SIGMETRICS '93 Proceedings of the 1993 ACM SIGMETRICS conference on Measurement and modeling of computer systems
Asynchronous secure computation
STOC '93 Proceedings of the twenty-fifth annual ACM symposium on Theory of computing
A robust model for finding optimal evolutionary trees
STOC '93 Proceedings of the twenty-fifth annual ACM symposium on Theory of computing
A fast algorithm for generalized network location problems
SAC '93 Proceedings of the 1993 ACM/SIGAPP symposium on Applied computing: states of the art and practice
Probabilistically checkable debate systems and approximation algorithms for PSPACE-hard functions
STOC '93 Proceedings of the twenty-fifth annual ACM symposium on Theory of computing
Proportionate progress: a notion of fairness in resource allocation
STOC '93 Proceedings of the twenty-fifth annual ACM symposium on Theory of computing
Many birds with one stone: multi-objective approximation algorithms
STOC '93 Proceedings of the twenty-fifth annual ACM symposium on Theory of computing
Optimal online scheduling of parallel jobs with dependencies
STOC '93 Proceedings of the twenty-fifth annual ACM symposium on Theory of computing
Finding minimum-quotient cuts in planar graphs
STOC '93 Proceedings of the twenty-fifth annual ACM symposium on Theory of computing
The network inhibition problem
STOC '93 Proceedings of the twenty-fifth annual ACM symposium on Theory of computing
Building correct simulation models is difficult
WSC '92 Proceedings of the 24th conference on Winter simulation
Parameterized learning complexity
COLT '93 Proceedings of the sixth annual conference on Computational learning theory
COLT '93 Proceedings of the sixth annual conference on Computational learning theory
On learning embedded symmetric concepts
COLT '93 Proceedings of the sixth annual conference on Computational learning theory
Effects of nonsymmetric release times on rate monotonic scheduling
CSC '93 Proceedings of the 1993 ACM conference on Computer science
An O(nlogn) algorithm for finding minimal path cover in circular-arc graphs
CSC '93 Proceedings of the 1993 ACM conference on Computer science
NP-Hard Module Rotation Problems
IEEE Transactions on Computers
PCBN: A High-Performance Partitionable Circular Bus Network for Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Proof of the 4/3 conjecture for preemptive vs. nonpreemptive two-processor scheduling
Journal of the ACM (JACM)
Compiling nested data-parallel programs for shared-memory multiprocessors
ACM Transactions on Programming Languages and Systems (TOPLAS)
A nested-graph model for the representation and manipulation of complex objects
ACM Transactions on Information Systems (TOIS)
On the expressive power of query languages
ACM Transactions on Information Systems (TOIS)
Cryptographic limitations on learning Boolean formulae and finite automata
Journal of the ACM (JACM)
Approximation algorithms for NP-complete problems on planar graphs
Journal of the ACM (JACM)
Biconnectivity approximations and graph carvings
Journal of the ACM (JACM)
On randomization in sequential and distributed algorithms
ACM Computing Surveys (CSUR)
Dominators, super blocks, and program coverage
POPL '94 Proceedings of the 21st ACM SIGPLAN-SIGACT symposium on Principles of programming languages
On the power of bounded concurrency I: finite automata
Journal of the ACM (JACM)
Efficient register allocation via coloring using clique separators
ACM Transactions on Programming Languages and Systems (TOPLAS)
The realization problem for Euclidean minimum spanning trees is NP-hard
SCG '94 Proceedings of the tenth annual symposium on Computational geometry
SCG '94 Proceedings of the tenth annual symposium on Computational geometry
Improvements to graph coloring register allocation
ACM Transactions on Programming Languages and Systems (TOPLAS)
On the maximum degree of minimum spanning trees
SCG '94 Proceedings of the tenth annual symposium on Computational geometry
Almost optimal set covers in finite VC-dimension: (preliminary version)
SCG '94 Proceedings of the tenth annual symposium on Computational geometry
Call forwarding: a simple interprocedural optimization technique for dynamically typed languages
POPL '94 Proceedings of the 21st ACM SIGPLAN-SIGACT symposium on Principles of programming languages
COLT '94 Proceedings of the seventh annual conference on Computational learning theory
On the limits of proper learnability of subclasses of DNF formulas
COLT '94 Proceedings of the seventh annual conference on Computational learning theory
A dominating set model for broadcast in all-port wormhole-routed 2D mesh networks
ICS '94 Proceedings of the 8th international conference on Supercomputing
On testing cache-coherent shared memories
SPAA '94 Proceedings of the sixth annual ACM symposium on Parallel algorithms and architectures
ACM SIGACT News
Inductive logic programming and learnability
ACM SIGART Bulletin
A taxonomy of proof systems (part 2)
ACM SIGACT News
Dynamic programming algorithms for the knapsack problem
ACM SIGAPL APL Quote Quad
A static partitioning and mapping algorithm for conservative parallel simulations
PADS '94 Proceedings of the eighth workshop on Parallel and distributed simulation
PODS '94 Proceedings of the thirteenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Making object-oriented schemas more expressive
PODS '94 Proceedings of the thirteenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Reasoning about strings in databases
PODS '94 Proceedings of the thirteenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Optimally profiling and tracing programs
ACM Transactions on Programming Languages and Systems (TOPLAS)
Automatic generation of production rules for integrity maintenance
ACM Transactions on Database Systems (TODS)
On Polynomial-Time Testable Combinational Circuits
IEEE Transactions on Computers
Turing Award lecture: it's time to reconsider time
Communications of the ACM
Polynomial time optimal algorithms for time slot assignment of variable bandwidth systems
IEEE/ACM Transactions on Networking (TON)
Schemes for slot reuse in CRMA
IEEE/ACM Transactions on Networking (TON)
Management of disk space with REBATE
CIKM '94 Proceedings of the third international conference on Information and knowledge management
Efficient network flow based min-cut balanced partitioning
ICCAD '94 Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design
Simultaneous functional-unit binding and floorplanning
ICCAD '94 Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design
Module selection and data format conversion for cost-optimal DSP synthesis
ICCAD '94 Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design
Area minimization for hierarchical floorplans
ICCAD '94 Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design
Interactive clustering for navigating in hypermedia systems
ECHT '94 Proceedings of the 1994 ACM European conference on Hypermedia technology
The quasilinear isomorphism challenge
ACM SIGACT News
Low degree spanning trees of small weight
STOC '94 Proceedings of the twenty-sixth annual ACM symposium on Theory of computing
STOC '94 Proceedings of the twenty-sixth annual ACM symposium on Theory of computing
Approximation schemes for PSPACE-complete problems for succinct specifications (preliminary version)
STOC '94 Proceedings of the twenty-sixth annual ACM symposium on Theory of computing
Optimality and domination in repeated games with bounded players
STOC '94 Proceedings of the twenty-sixth annual ACM symposium on Theory of computing
Aligning sequences via an evolutionary tree: complexity and approximation
STOC '94 Proceedings of the twenty-sixth annual ACM symposium on Theory of computing
Journal of the ACM (JACM)
A reduced test suite for protocol conformance testing
ACM Transactions on Software Engineering and Methodology (TOSEM)
Software scheduling in the co-synthesis of reactive real-time systems
DAC '94 Proceedings of the 31st annual Design Automation Conference
Simultaneous placement and module optimization of analog IC's
DAC '94 Proceedings of the 31st annual Design Automation Conference
Implicit computation of minimum-cost feedback-vertex sets for partial scan and other applications
DAC '94 Proceedings of the 31st annual Design Automation Conference
Heuristic minimization of BDDs using don't cares
DAC '94 Proceedings of the 31st annual Design Automation Conference
Circuit partitioning for huge logic emulation systems
DAC '94 Proceedings of the 31st annual Design Automation Conference
The virtual path layout problem in fast networks (extended abstract)
PODC '94 Proceedings of the thirteenth annual ACM symposium on Principles of distributed computing
Optimizing an ANSI C interpreter with superoperators
POPL '95 Proceedings of the 22nd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
The complexity of logic-based abduction
Journal of the ACM (JACM)
NP trees and Carnap's modal logic
Journal of the ACM (JACM)
ACM Transactions on Software Engineering and Methodology (TOSEM)
Design and evaluation of algorithms for image retrieval by spatial similarity
ACM Transactions on Information Systems (TOIS)
On nominal delay minimization in LUT-based FPGA technology mapping
FPGA '95 Proceedings of the 1995 ACM third international symposium on Field-programmable gate arrays
Approximate solutions to problems in PSPACE
ACM SIGACT News
Two recent algorithms for the global minimum cut problem
ACM SIGACT News
An Optimal Instruction Scheduler for Superscalar Processor
IEEE Transactions on Parallel and Distributed Systems
A New Public-Key Cipher System Based Upon the Diophantine Equations
IEEE Transactions on Computers
Compiler-Based Multiple Instruction Retry
IEEE Transactions on Computers
IEEE Transactions on Computers - Special issue on fault-tolerant computing
Optimal Reconfiguration Algorithms for Real-Time Fault-Tolerant Processor Arrays
IEEE Transactions on Parallel and Distributed Systems
A Well-Behaved Enumeration of Star Graphs
IEEE Transactions on Parallel and Distributed Systems
Extracting task-level parallelism
ACM Transactions on Programming Languages and Systems (TOPLAS)
Translating default logic into standard autoepistemic logic
Journal of the ACM (JACM)
Logical foundations of object-oriented and frame-based languages
Journal of the ACM (JACM)
Dense-order constraint databases (extended abstract)
PODS '95 Proceedings of the fourteenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
On the complexity of bounded-variable queries (extended abstract)
PODS '95 Proceedings of the fourteenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Efficient instruction scheduling for delayed-load architectures
ACM Transactions on Programming Languages and Systems (TOPLAS)
Approximation schemes for covering and packing problems in image processing and VLSI
Journal of the ACM (JACM)
Serializability with constraints
ACM Transactions on Database Systems (TODS)
An optimization method for the channel assignment in mixed environments
MobiCom '95 Proceedings of the 1st annual international conference on Mobile computing and networking
Language constructs and transformation for hard real-time systems
LCTES '95 Proceedings of the ACM SIGPLAN 1995 workshop on Languages, compilers, & tools for real-time systems
IBM Systems Journal
Memory segmentation to exploit sleep mode operation
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
Interval scheduling: fine-grained code scheduling for embedded systems
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
On optimal board-level routing for FPGA-based logic emulation
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
Efficient power estimation for highly correlated input streams
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
Assignment of cells to switches in PCS networks
IEEE/ACM Transactions on Networking (TON)
Airdisks and airRAID (expanded extract): modeling and scheduling periodic wireless data broadcast
ACM SIGARCH Computer Architecture News
Dynamic Task Allocation Models for Large Distributed Computing Systems
IEEE Transactions on Parallel and Distributed Systems
Triangulations intersect nicely
Proceedings of the eleventh annual symposium on Computational geometry
Information synthesis in statistical databases
CIKM '95 Proceedings of the fourth international conference on Information and knowledge management
A formalization of the Turing test
ACM SIGART Bulletin
Optimal probabilistic allocation of customer types to servers
Proceedings of the 1995 ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems
Multiway netlist partitioning onto FPGA-based board architecture
EURO-DAC '95/EURO-VHDL '95 Proceedings of the conference on European design automation
Simulated annealing: past, present, and future
WSC '95 Proceedings of the 27th conference on Winter simulation
Optimal code generation for embedded memory non-homogeneous register architectures
ISSS '95 Proceedings of the 8th international symposium on System synthesis
On the simultaneous construction of sample paths
WSC '95 Proceedings of the 27th conference on Winter simulation
Gated SSA-based demand-driven symbolic analysis for parallelizing compilers
ICS '95 Proceedings of the 9th international conference on Supercomputing
CRAIG: a practical framework for combining instruction scheduling and register assignment
PACT '95 Proceedings of the IFIP WG10.3 working conference on Parallel architectures and compilation techniques
Network routing models applied to aircraft routing problems
WSC '95 Proceedings of the 27th conference on Winter simulation
Test register insertion with minimum hardware cost
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
On adaptive diagnostic test generation
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
Sub-linear distributed algorithms for sparse certificates and biconnected components
Proceedings of the fourteenth annual ACM symposium on Principles of distributed computing
Effect of test set minimization on fault detection effectiveness
Proceedings of the 17th international conference on Software engineering
Improved approximation algorithms for uniform connectivity problems
STOC '95 Proceedings of the twenty-seventh annual ACM symposium on Theory of computing
Memory bank and register allocation in software synthesis for ASIPs
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
System partitioning to maximize sleep time
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
Fast protein folding in the hydrophobic-hydrophilic model within three-eights of optimal
STOC '95 Proceedings of the twenty-seventh annual ACM symposium on Theory of computing
A controller-based design-for-testability technique for controller-data path circuits
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
Circuit partitioning with logic perturbation
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
Spill-free parallel scheduling of basic blocks
Proceedings of the 28th annual international symposium on Microarchitecture
On-line learning of binary and n-ary relations over multi-dimensional clusters
COLT '95 Proceedings of the eighth annual conference on Computational learning theory
Code assignment for hidden terminal interference avoidance in multihop packet radio networks
IEEE/ACM Transactions on Networking (TON)
On the hardness of approximating optimum schedule problems in store and forward networks
IEEE/ACM Transactions on Networking (TON)
Knowledge compilation and theory approximation
Journal of the ACM (JACM)
Interactive proofs and the hardness of approximating cliques
Journal of the ACM (JACM)
A comprehensive study of the complexity of multiparty interaction
Journal of the ACM (JACM)
The program understanding problem: analysis and a heuristic approach
Proceedings of the 18th international conference on Software engineering
Min-Cut Partitioning on Underlying Tree and Graph Structures
IEEE Transactions on Computers
IEEE Transactions on Computers
Computational issues for accessibility in discrete event simulation
ACM Transactions on Modeling and Computer Simulation (TOMACS)
ACM Transactions on Programming Languages and Systems (TOPLAS)
A Trip-Based Multicasting Model in Wormhole-Routed Networks with Virtual Channels
IEEE Transactions on Parallel and Distributed Systems
ABA: an assignment based algorithm for resource allocation
ACM SIGART Bulletin
Software pipelining showdown: optimal vs. heuristic methods in a production compiler
PLDI '96 Proceedings of the ACM SIGPLAN 1996 conference on Programming language design and implementation
N-Tuple Features for OCR Revisited
IEEE Transactions on Pattern Analysis and Machine Intelligence
IEEE Transactions on Computers
An Efficient Parallel Recognition Algorithm For Bipartite-Permutation Graphs
IEEE Transactions on Parallel and Distributed Systems
Computing on Anonymous Networks: Part II-Decision and Membership Problems
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Combinational logic synthesis for LUT based field programmable gate arrays
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Symbolic analysis for parallelizing compilers
ACM Transactions on Programming Languages and Systems (TOPLAS)
ACM Computing Surveys (CSUR)
Computational complexity theory
ACM Computing Surveys (CSUR)
Automated consistency checking of requirements specifications
ACM Transactions on Software Engineering and Methodology (TOSEM)
A new approach to the minimum cut problem
Journal of the ACM (JACM)
Multicast tree generation in networks with asymmetric links
IEEE/ACM Transactions on Networking (TON)
ACM Computing Surveys (CSUR)
Improving the Variable Ordering of OBDDs Is NP-Complete
IEEE Transactions on Computers
Analyzing Regression Test Selection Techniques
IEEE Transactions on Software Engineering
A Sweeping Line Approach to Interconnect Testing
IEEE Transactions on Computers
Exploiting dual data-memory banks in digital signal processors
Proceedings of the seventh international conference on Architectural support for programming languages and operating systems
Coarse-grained parallelism for hierarchical radiosity using group iterative methods
SIGGRAPH '96 Proceedings of the 23rd annual conference on Computer graphics and interactive techniques
A (usually?) connected subgraph of the minimum weight triangulation
Proceedings of the twelfth annual symposium on Computational geometry
PODS '96 Proceedings of the fifteenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
POPL '96 Proceedings of the 23rd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Minimum cuts in near-linear time
STOC '96 Proceedings of the twenty-eighth annual ACM symposium on Theory of computing
Towards a syntactic characterization of PTAS
STOC '96 Proceedings of the twenty-eighth annual ACM symposium on Theory of computing
A tight analysis of the greedy algorithm for set cover
STOC '96 Proceedings of the twenty-eighth annual ACM symposium on Theory of computing
COLT '96 Proceedings of the ninth annual conference on Computational learning theory
A recursive technique for computing lower-bound performance of schedules
ACM Transactions on Design Automation of Electronic Systems (TODAES)
The Unison algorithm: fast evaluation of Boolean expressions
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Precise flow-insensitive may-alias analysis is NP-hard
ACM Transactions on Programming Languages and Systems (TOPLAS)
Layout driven selecting and chaining of partial scan flip-flops
DAC '96 Proceedings of the 33rd annual Design Automation Conference
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Using register-transfer paths in code generation for heterogeneous memory-register architectures
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Stochastic sequential machine synthesis targeting constrained sequence generation
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Structural gate decomposition for depth-optimal technology mapping in LUT-based FPGA design
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Topological design of local-area networks using genetic algorithms
IEEE/ACM Transactions on Networking (TON)
Static Assignment of Stochastic Tasks Using Majorization
IEEE Transactions on Computers
Synchronous and Asynchronous Parallel Simulated Annealing with Multiple Markov Chains
IEEE Transactions on Parallel and Distributed Systems
Scheduling In and Out Forests in the Presence of Communication Delays
IEEE Transactions on Parallel and Distributed Systems
Strategic directions in research in theory of computing
ACM Computing Surveys (CSUR) - Special ACM 50th-anniversary issue: strategic directions in computing research
Joint optimal channel base station and power assignment for wireless access
IEEE/ACM Transactions on Networking (TON)
GRASP—a new search algorithm for satisfiability
Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design
On the Use of Counters for Reproducing Deterministic Test Sets
IEEE Transactions on Computers
A graph-constructive approach to solving systems of geometric constraints
ACM Transactions on Graphics (TOG)
A Graduated Assignment Algorithm for Graph Matching
IEEE Transactions on Pattern Analysis and Machine Intelligence
A text compression scheme that allows fast searching directly in the compressed file
ACM Transactions on Information Systems (TOIS)
Optimal Partitioning of Heterogeneous Traffic Sources in Mobile Communications Networks
IEEE Transactions on Computers - Special issue on mobile computing
IEEE Transactions on Parallel and Distributed Systems
Controller optimization for protocol intensive applications
EURO-DAC '96/EURO-VHDL '96 Proceedings of the conference on European design automation
Automatic structuring and optimization of hierarchical designs
EURO-DAC '96/EURO-VHDL '96 Proceedings of the conference on European design automation
Energy minimization using multiple supply voltages
ISLPED '96 Proceedings of the 1996 international symposium on Low power electronics and design
Multidatabase Query Optimization
Distributed and Parallel Databases
WSC '85 Proceedings of the 17th conference on Winter simulation
A survey of approximately optimal solutions to some covering and packing problems
ACM Computing Surveys (CSUR)
Global node reduction of linear systems using ratio analysis
ISSS '94 Proceedings of the 7th international symposium on High-level synthesis
Parallel Signature Analysis Design with Bounds on Aliasing
IEEE Transactions on Computers
Band Ordering in Lossless Compression of Multispectral Images
IEEE Transactions on Computers
Loop optimization for horizontal microcoded machines
ICS '90 Proceedings of the 4th international conference on Supercomputing
ICS '90 Proceedings of the 4th international conference on Supercomputing
Interconnection synthesis with geometric constraints
MICRO 23 Proceedings of the 23rd annual workshop and symposium on Microprogramming and microarchitecture
Stubborn Sets for Real-Time Petri Nets
Formal Methods in System Design
Joint Minimization of Code and Data for Synchronous DataflowPrograms
Formal Methods in System Design
Characteristic Sets for Polynomial Grammatical Inference
Machine Learning
Task Allocation Algorithms for Maximizing Reliability of Distributed Computing Systems
IEEE Transactions on Computers
Color image quantization by minimizing the maximum intercluster distance
ACM Transactions on Graphics (TOG)
Fixpoint logics, relational machines, and computational complexity
Journal of the ACM (JACM)
IEEE Transactions on Parallel and Distributed Systems
A new model for scheduling packet radio networks
Wireless Networks
Partially-dependent functional decomposition with applications in FPGA synthesis and mapping
FPGA '97 Proceedings of the 1997 ACM fifth international symposium on Field-programmable gate arrays
Approximation algorithms for structured communication problems
Proceedings of the ninth annual ACM symposium on Parallel algorithms and architectures
Algorithmic complexity in coding theory and the minimum distance problem
STOC '97 Proceedings of the twenty-ninth annual ACM symposium on Theory of computing
Approximating total flow time on parallel machines
STOC '97 Proceedings of the twenty-ninth annual ACM symposium on Theory of computing
Better bounds for online scheduling
STOC '97 Proceedings of the twenty-ninth annual ACM symposium on Theory of computing
Incremental clustering and dynamic information retrieval
STOC '97 Proceedings of the twenty-ninth annual ACM symposium on Theory of computing
Resource-bounded partial evaluation
PEPM '97 Proceedings of the 1997 ACM SIGPLAN symposium on Partial evaluation and semantics-based program manipulation
Relative liveness and behavior abstraction (extended abstract)
PODC '97 Proceedings of the sixteenth annual ACM symposium on Principles of distributed computing
Clocking Optimization and Distribution in Digital Systemswith Scheduled Skews
Journal of VLSI Signal Processing Systems - Special issue on high performance clock distribution networks
A New Lagrangian Relaxation Based Algorithm for a Class ofMultidimensional Assignment Problems
Computational Optimization and Applications
A grid-based approach for connectivity binding with geometric costs
ICCAD '93 Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design
Min-max linear programming and the timing analysis of digital circuits
ICCAD '93 Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design
Minimum padding to satisfy short path constraints
ICCAD '93 Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design
Routing for symmetric FPGAs and FPICs
ICCAD '93 Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design
FGILP: an integer linear program solver based on function graphs
ICCAD '93 Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design
ICCAD '93 Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design
Allocation of multiport memories for hierarchical data stream
ICCAD '93 Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design
A new feed-through assignment algorithm based on a flow model
ICCAD '93 Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design
ProbView: a flexible probabilistic database system
ACM Transactions on Database Systems (TODS)
Constraint analysis for DSP code generation
ISSS '97 Proceedings of the 10th international symposium on System synthesis
Reducing the complexity of ILP formulations for synthesis
ISSS '97 Proceedings of the 10th international symposium on System synthesis
Minimum strictly convex quadrangulations of convex polygons
SCG '97 Proceedings of the thirteenth annual symposium on Computational geometry
RAPID: randomized pharmacophore identification for drug design
SCG '97 Proceedings of the thirteenth annual symposium on Computational geometry
SIGCOMM '97 Proceedings of the ACM SIGCOMM '97 conference on Applications, technologies, architectures, and protocols for computer communication
Closure properties of constraints
Journal of the ACM (JACM)
Regular path queries with constraints
PODS '97 Proceedings of the sixteenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
On the complexity of generating optimal plans with cross products (extended abstract)
PODS '97 Proceedings of the sixteenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
A cluster-based approach for routing in dynamic networks
ACM SIGCOMM Computer Communication Review
Paging strategy optimization in personal communication systems
Wireless Networks
Location update optimization in personal communication systems
Wireless Networks
A survey of Boolean matching techniques for library binding
ACM Transactions on Design Automation of Electronic Systems (TODAES)
On Parallelization of Static Scheduling Algorithms
IEEE Transactions on Software Engineering
Scheduling for Overload in Real-Time Systems
IEEE Transactions on Computers
Exact coloring of real-life graphs is easy
DAC '97 Proceedings of the 34th annual Design Automation Conference
Low energy memory and register allocation using network flow
DAC '97 Proceedings of the 34th annual Design Automation Conference
Browsing and placement of multiresolution images on parallel disks
Proceedings of the fifth workshop on I/O in parallel and distributed systems
COSYN: hardware-software co-synthesis of embedded systems
DAC '97 Proceedings of the 34th annual Design Automation Conference
Data-flow assisted behavioral partitioning for embedded systems
DAC '97 Proceedings of the 34th annual Design Automation Conference
Path independence for authentication in large-scale systems
Proceedings of the 4th ACM conference on Computer and communications security
Application-driven synthesis of core-based systems
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
A quantitative approach to functional debugging
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
Replication for logic bipartitioning
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
MOGAC: a multiobjective genetic algorithm for the co-synthesis of hardware-software embedded systems
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
A new approach to simultaneous buffer insertion and wire sizing
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
Maximum independent sets on transitive graphs and their applications in testing and CAD
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
On the complexity of learning for a spiking neuron (extended abstract)
COLT '97 Proceedings of the tenth annual conference on Computational learning theory
Generating all maximal independent sets of bounded-degree hypergraphs
COLT '97 Proceedings of the tenth annual conference on Computational learning theory
On the complexity and approximation of syntenic distance
RECOMB '97 Proceedings of the first annual international conference on Computational molecular biology
On the computational complexity of sequence design problems
RECOMB '97 Proceedings of the first annual international conference on Computational molecular biology
RECOMB '97 Proceedings of the first annual international conference on Computational molecular biology
Proceedings of the 1997 international symposium on Physical design
A min-cost flow based min-cost rectilinear Steiner distance-preserving tree construction
Proceedings of the 1997 international symposium on Physical design
A matrix synthesis approach to thermal placement
Proceedings of the 1997 international symposium on Physical design
An efficient ILP-based scheduling algorithm for control-dominated VHDL descriptions
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Memory data organization for improved cache performance in embedded processor applications
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Edge profiling versus path profiling: the showdown
POPL '98 Proceedings of the 25th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Assessing data quality in accounting information systems
Communications of the ACM
Optimal Parallel Routing in Star Networks
IEEE Transactions on Computers
Incremental Reconfiguration and Load Adjustment in Adaptive Real-Time Systems
IEEE Transactions on Computers
IEEE Transactions on Computers
Processor Assignment and Execution Sequence for Multiversion Software
IEEE Transactions on Computers
Subcube Determination in Faulty Hypercubes
IEEE Transactions on Computers
The Smallest Pair of Noncrossing Paths in a Rectilinear Polygon
IEEE Transactions on Computers
Synthesis of Hazard-Free Asynchronous Circuits Based on Characteristic Graph
IEEE Transactions on Computers
Assignment and Scheduling Communicating Periodic Tasks in Distributed Real-Time Systems
IEEE Transactions on Software Engineering
Computational Optimization and Applications
A Framework for Exploiting Task and Data Parallelism on Distributed Memory Multicomputers
IEEE Transactions on Parallel and Distributed Systems
Efficient solutions to multicast routing in communication networks
Mobile Networks and Applications - Special issue: routing in mobile communications networks
The ISPD98 circuit benchmark suite
ISPD '98 Proceedings of the 1998 international symposium on Physical design
A parallel algorithm for zero skew clock tree routing
ISPD '98 Proceedings of the 1998 international symposium on Physical design
How to learn an unknown environment. I: the rectilinear case
Journal of the ACM (JACM)
Approximate graph coloring by semidefinite programming
Journal of the ACM (JACM)
Extracting optimal association rules over numeric attributes
ACM-SE 36 Proceedings of the 36th annual Southeast regional conference
Logic based modeling and analysis of workflows
PODS '98 Proceedings of the seventeenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
On the complexity of the containment problem for conjunctive queries with built-in predicates
PODS '98 Proceedings of the seventeenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Conjunctive-query containment and constraint satisfaction
PODS '98 Proceedings of the seventeenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
A New Technique for Optimization Problems in Graph Theory
IEEE Transactions on Computers
On the Complexity of Designing Optimal Branch-and-Combine Clock Networks
IEEE Transactions on Computers
A polynomial approximation algorithm for the minimum fill-in problem
STOC '98 Proceedings of the thirtieth annual ACM symposium on Theory of computing
Random generation of embedded graphs and an extension to Dobrushin uniqueness (extended abstract)
STOC '98 Proceedings of the thirtieth annual ACM symposium on Theory of computing
The approximability of NP-hard problems
STOC '98 Proceedings of the thirtieth annual ACM symposium on Theory of computing
Algorithms for capacitated vehicle routing
STOC '98 Proceedings of the thirtieth annual ACM symposium on Theory of computing
Partitioning Processor Arrays under Resource Constraints
Journal of VLSI Signal Processing Systems
Multicasting in heterogeneous networks
STOC '98 Proceedings of the thirtieth annual ACM symposium on Theory of computing
K-sat on groups and undecidability
STOC '98 Proceedings of the thirtieth annual ACM symposium on Theory of computing
Implementations of the LMT heuristic for minimum weight triangulation
Proceedings of the fourteenth annual symposium on Computational geometry
DAC '98 Proceedings of the 35th annual Design Automation Conference
Power optimization of variable voltage core-based systems
DAC '98 Proceedings of the 35th annual Design Automation Conference
Media architecture: general purpose vs. multiple application-specific programmable processor
DAC '98 Proceedings of the 35th annual Design Automation Conference
Global routing with crosstalk constraints
DAC '98 Proceedings of the 35th annual Design Automation Conference
Efficient coloring of a large spectrum of graphs
DAC '98 Proceedings of the 35th annual Design Automation Conference
Watermarking techniques for intellectual property protection
DAC '98 Proceedings of the 35th annual Design Automation Conference
Using Recorded Values for Bounding the Minimum Completion Time in Multiprocessors
IEEE Transactions on Parallel and Distributed Systems
Deadlock-free routing in arbitrary networks via the flattest common supersequence method
Proceedings of the tenth annual ACM symposium on Parallel algorithms and architectures
How “hard” is thread partitioning and how “bad” is a list scheduling based partitioning algorithm?
Proceedings of the tenth annual ACM symposium on Parallel algorithms and architectures
Optimal allocation of electronic content in networks
PODC '98 Proceedings of the seventeenth annual ACM symposium on Principles of distributed computing
Proof verification and the hardness of approximation problems
Journal of the ACM (JACM)
Protein folding in the hydrophobic-hydrophilic (HP) is NP-complete
RECOMB '98 Proceedings of the second annual international conference on Computational molecular biology
Better methods for solving parsimony and compatibility
RECOMB '98 Proceedings of the second annual international conference on Computational molecular biology
On reconstructing species trees from gene trees in term of duplications and losses
RECOMB '98 Proceedings of the second annual international conference on Computational molecular biology
Partitioning K clones: hardness results and practical algorithms for the K-populations problem
RECOMB '98 Proceedings of the second annual international conference on Computational molecular biology
Chromosome Reconstruction from Physical Maps Using a Cluster of Workstations
The Journal of Supercomputing
Planning management of multiagent-based distributed open: computing environment model
ACM SIGOPS Operating Systems Review
Simplification of truth-invariant cylindrical algebraic decompositions
ISSAC '98 Proceedings of the 1998 international symposium on Symbolic and algebraic computation
Scheduling Algorithms for Parallel Gaussian Elimination With Communication Costs
IEEE Transactions on Parallel and Distributed Systems
A kernel-finding state assignment algorithm for multi-level logic
DAC '88 Proceedings of the 25th ACM/IEEE Design Automation Conference
Fast algorithm for optimal layer assignment
DAC '88 Proceedings of the 25th ACM/IEEE Design Automation Conference
The constrained via minimization problem for PCB and VLSI design
DAC '88 Proceedings of the 25th ACM/IEEE Design Automation Conference
Spare allocation and reconfiguration in large area VLSI
DAC '88 Proceedings of the 25th ACM/IEEE Design Automation Conference
Maximum Matching on Boltzmann Machines
Neural Processing Letters
Constant density visualizations of non-uniform distributions of data
Proceedings of the 11th annual ACM symposium on User interface software and technology
CORDS: hardware-software co-synthesis of reconfigurable real-time distributed embedded systems
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
Test set compaction algorithms for combinational circuits
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
Efficient support for client/server applications over heterogeneous ATM network
IEEE/ACM Transactions on Networking (TON)
An iterative algorithm for delay-constrained minimum-cost multicasting
IEEE/ACM Transactions on Networking (TON)
Network flow based circuit partitioning for time-multiplexed FPGAs
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
Functional debugging of systems-on-chip
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
A simultaneous routing tree construction and fanout optimization algorithm
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
Validation of voting committees
Neural Computation
Automated Meta-Control for Adaptable Real-Time Software
Real-Time Systems
Disk scheduling for mixed-media workloads in a multimedia server
MULTIMEDIA '98 Proceedings of the sixth ACM international conference on Multimedia
Code generation for fixed-point DSPs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Effects of resource sharing on circuit delay: an assignment algorithm for clock period optimization
ACM Transactions on Design Automation of Electronic Systems (TODAES)
The Capacitated Arc Routing Problem: Valid Inequalities and Facets
Computational Optimization and Applications
MICRO 31 Proceedings of the 31st annual ACM/IEEE international symposium on Microarchitecture
Schedule-independent storage mapping for loops
Proceedings of the eighth international conference on Architectural support for programming languages and operating systems
Constraint-based array dependence analysis
ACM Transactions on Programming Languages and Systems (TOPLAS)
A Fault-Tolerant Dynamic Scheduling Algorithm for Multiprocessor Real-Time Systems and Its Analysis
IEEE Transactions on Parallel and Distributed Systems
Application-specific heterogeneous multiprocessor synthesis using differential-evolution
Proceedings of the 11th international symposium on System synthesis
Using ACCESSIBILITY to assess the performance of generalized hill climbing algorithms
Proceedings of the 30th conference on Winter simulation
ACM Transactions on Mathematical Software (TOMS)
Computing intersections of Horn theories for reasoning with models
AAAI '98/IAAI '98 Proceedings of the fifteenth national/tenth conference on Artificial intelligence/Innovative applications of artificial intelligence
AAAI '98/IAAI '98 Proceedings of the fifteenth national/tenth conference on Artificial intelligence/Innovative applications of artificial intelligence
Heuristic Loop-Based Scheduling and Allocation for DSP Synthesis with Heterogeneous Functional Units
Journal of VLSI Signal Processing Systems
Circuit partitioning for dynamically reconfigurable FPGAs
FPGA '99 Proceedings of the 1999 ACM/SIGDA seventh international symposium on Field programmable gate arrays
Power balance and apportionment algorithms for the United States Congress
Journal of Experimental Algorithmics (JEA)
A prolog program for decomposing clothing orders into lays
ACM SIGAPP Applied Computing Review
Resilient Authentication Using Path Independence
IEEE Transactions on Computers
A weight-coded genetic algorithm for the multiple container packing problem
Proceedings of the 1999 ACM symposium on Applied computing
Depth-fair crossover in genetic programming
Proceedings of the 1999 ACM symposium on Applied computing
Distributed collective adaptation applied to a hard combinatorial optimization problem
Proceedings of the 1999 ACM symposium on Applied computing
Distributing collective adaptation via message passing
Proceedings of the 1999 ACM symposium on Applied computing
Representability of design objects by ancestor-controlled hierarchical specifications
PODS '90 Proceedings of the ninth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Constraint query languages (preliminary report)
PODS '90 Proceedings of the ninth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
IEEE Transactions on Parallel and Distributed Systems
On a New Boolean Function with Applications
IEEE Transactions on Computers
Identifying gene regulatory networks from experimental data
RECOMB '99 Proceedings of the third annual international conference on Computational molecular biology
Optimizing combinatorial library construction via split synthesis
RECOMB '99 Proceedings of the third annual international conference on Computational molecular biology
Computing the Medial Axis Transform in Parallel With Eight Scan Operations
IEEE Transactions on Pattern Analysis and Machine Intelligence
An algorithm for clustering cDNAs for gene expression analysis
RECOMB '99 Proceedings of the third annual international conference on Computational molecular biology
RECOMB '99 Proceedings of the third annual international conference on Computational molecular biology
IEEE/ACM Transactions on Networking (TON)
Greedy, Prohibition, and Reactive Heuristics for Graph Partitioning
IEEE Transactions on Computers
IEEE Transactions on Computers
A PTAS for minimizing the weighted sum of job completion times on parallel machines
STOC '99 Proceedings of the thirty-first annual ACM symposium on Theory of computing
A polynomial time approximation scheme for general multiprocessor job scheduling (extended abstract)
STOC '99 Proceedings of the thirty-first annual ACM symposium on Theory of computing
Complexity of graph partition problems
STOC '99 Proceedings of the thirty-first annual ACM symposium on Theory of computing
Finding similar regions in many strings
STOC '99 Proceedings of the thirty-first annual ACM symposium on Theory of computing
Approximating the throughput of multiple machines under real-time scheduling
STOC '99 Proceedings of the thirty-first annual ACM symposium on Theory of computing
Solving the Minimum Weighted Integer Coloring Problem
Computational Optimization and Applications
Optimized rapid prototyping for real-time embedded heterogeneous multiprocessors
CODES '99 Proceedings of the seventh international workshop on Hardware/software codesign
Storage assignment optimizations to generate compact and efficient code on embedded DSPs
Proceedings of the ACM SIGPLAN 1999 conference on Programming language design and implementation
IEEE Transactions on Parallel and Distributed Systems
On Parallelizing the Multiprocessor Scheduling Problem
IEEE Transactions on Parallel and Distributed Systems
The computational complexity of knot and link problems
Journal of the ACM (JACM)
The Tractability of Segmentation and Scene Analysis
International Journal of Computer Vision
An Algorithm for Scheduling Jobs in Hypercube Systems
IEEE Transactions on Parallel and Distributed Systems
On Exploiting Task Duplication in Parallel Program Scheduling
IEEE Transactions on Parallel and Distributed Systems
Allocation Techniques for Reducing BIST Area Overhead ofData Paths
Journal of Electronic Testing: Theory and Applications - special issue on high-level test synthesis
Hypertree decompositions and tractable queries
PODS '99 Proceedings of the eighteenth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Efficiently sequencing tape-resident jobs
PODS '99 Proceedings of the eighteenth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Inherent complexity of recursive queries
PODS '99 Proceedings of the eighteenth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
On the complexity of the view-selection problem
PODS '99 Proceedings of the eighteenth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
PODS '99 Proceedings of the eighteenth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Resolving non-uniqueness in design feature histories
Proceedings of the fifth ACM symposium on Solid modeling and applications
A tutorial on logic synthesis for lookup-table based FPGAs
ICCAD '92 Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design
Efficiency improvements for force-directed scheduling
ICCAD '92 Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design
Area optimization of multi-functional processing units
ICCAD '92 Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design
Update propagation protocols for replicated databates
SIGMOD '99 Proceedings of the 1999 ACM SIGMOD international conference on Management of data
Storing semistructured data with STORED
SIGMOD '99 Proceedings of the 1999 ACM SIGMOD international conference on Management of data
Optimization test problems with uniformly distributed coefficients
WSC '91 Proceedings of the 23rd conference on Winter simulation
GRASP: A Search Algorithm for Propositional Satisfiability
IEEE Transactions on Computers
On minimum stars, minimum Steiner stars, and maximum matchings
SCG '99 Proceedings of the fifteenth annual symposium on Computational geometry
Fast Approximation Algorithms on Maxcut, k-Coloring, and k-Color Ordering for VLSI Applications
IEEE Transactions on Computers
On the complexity of list scheduling algorithms for distributed-memory systems
ICS '99 Proceedings of the 13th international conference on Supercomputing
Efficient approximation algorithms for scheduling malleable tasks
Proceedings of the eleventh annual ACM symposium on Parallel algorithms and architectures
Optimal placement of distributed interrelated data components using genetic algorithms
ACM-SE 37 Proceedings of the 37th annual Southeast regional conference (CD-ROM)
DATE '99 Proceedings of the conference on Design, automation and test in Europe
On the intrinsic complexity of learning recursive functions
COLT '99 Proceedings of the twelfth annual conference on Computational learning theory
MOCSYN: multiobjective core-based single-chip system synthesis
DATE '99 Proceedings of the conference on Design, automation and test in Europe
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Overload Management in Real-Time Control Applications Using m,k $(m,k)$-Firm Guarantee
IEEE Transactions on Parallel and Distributed Systems
Temporal deductive databases and infinite objects
Proceedings of the seventh ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Minimizing time-space cost for database version control
Proceedings of the seventh ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Guaranteed solution formula construction
ISSAC '99 Proceedings of the 1999 international symposium on Symbolic and algebraic computation
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Power efficient mediaprocessors: design space exploration
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Multilevel k-way hypergraph partitioning
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Hypergraph partitioning with fixed vertices
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Low-power behavioral synthesis optimization using multiple precision arithmetic
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Engineering change: methodology and applications to behavioral and system synthesis
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Effective iterative techniques for fingerprinting design IP
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Behavioral synthesis techniques for intellectual property protection
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Database design for incomplete relations
ACM Transactions on Database Systems (TODS)
A graph-based system for network-vulnerability analysis
Proceedings of the 1998 workshop on New security paradigms
Machine Learning
CACTUS—clustering categorical data using summaries
KDD '99 Proceedings of the fifth ACM SIGKDD international conference on Knowledge discovery and data mining
Multi-fidelity algorithms for interactive mobile applications
DIALM '99 Proceedings of the 3rd international workshop on Discrete algorithms and methods for mobile computing and communications
Improved approximation algorithms for biconnected subgraphs via better lower bounding techniques
SODA '93 Proceedings of the fourth annual ACM-SIAM Symposium on Discrete algorithms
Optimal edge ranking to trees in polynomial time
SODA '93 Proceedings of the fourth annual ACM-SIAM Symposium on Discrete algorithms
Multiple translational containment: approximate and exact algorithms
Proceedings of the sixth annual ACM-SIAM symposium on Discrete algorithms
On the performance of spectral graph partitioning methods
Proceedings of the sixth annual ACM-SIAM symposium on Discrete algorithms
Guaranteeing fair service to persistent dependent tasks
Proceedings of the sixth annual ACM-SIAM symposium on Discrete algorithms
An O(log*n) approximation algorithm for the asymmetric p-center problem
Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms
Polynomial algorithms for minimum cost paths in periodic graphs
SODA '93 Proceedings of the fourth annual ACM-SIAM Symposium on Discrete algorithms
Improving biconnectivity approximation via local optimization
Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms
Multiprocessor scheduling with rejection
Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms
The complexity of flat origami
Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms
A commercial application of survivable network design: ITP/INPLANS CCS network topology analyzer
Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms
Selecting training inputs via greedy rank covering
Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms
Best-fit bin-packing with random order
Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms
Increasing the weight of minimum spanning trees
Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms
Approximating shallow-light trees
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Map labeling and its generalizations
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
A competitive strategy for learning a polygon
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
The angular-metric traveling salesman problem
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Graph orientations with no sink and an approximation for a hard case of #SAT
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
On distances between phylogenetic trees
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Approximation schemes for scheduling
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Polynomial algorithms for multiprocessor scheduling with a small number of job lengths
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Approximation techniques for average completion time scheduling
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Buy-at-bulk network design: approximating the single-sink edge installation problem
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Fast approximate graph partitioning algorithms
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Combinatorial optimization games
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Scheduling malleable and nonmalleable parallel tasks
SODA '94 Proceedings of the fifth annual ACM-SIAM symposium on Discrete algorithms
Approximating the minimum equivalent digraph
SODA '94 Proceedings of the fifth annual ACM-SIAM symposium on Discrete algorithms
SODA '94 Proceedings of the fifth annual ACM-SIAM symposium on Discrete algorithms
Page replacement for general caching problems
Proceedings of the tenth annual ACM-SIAM symposium on Discrete algorithms
Using homogenous weights for approximating the partial cover problem
Proceedings of the tenth annual ACM-SIAM symposium on Discrete algorithms
Greedy local improvement and weighted set packing approximation
Proceedings of the tenth annual ACM-SIAM symposium on Discrete algorithms
On multi-dimensional packing problems
Proceedings of the tenth annual ACM-SIAM symposium on Discrete algorithms
Nonplanar topological inference and political-map graphs
Proceedings of the tenth annual ACM-SIAM symposium on Discrete algorithms
On approximability of the minimum-cost k-connected spanning subgraph problem
Proceedings of the tenth annual ACM-SIAM symposium on Discrete algorithms
Using randomized sparsification to approximate minimum cuts
SODA '94 Proceedings of the fifth annual ACM-SIAM symposium on Discrete algorithms
SODA '94 Proceedings of the fifth annual ACM-SIAM symposium on Discrete algorithms
Tight bounds for dynamic storage allocation
SODA '94 Proceedings of the fifth annual ACM-SIAM symposium on Discrete algorithms
New approximation techniques for some ordering problems
Proceedings of the ninth annual ACM-SIAM symposium on Discrete algorithms
Flow and stretch metrics for scheduling continuous job streams
Proceedings of the ninth annual ACM-SIAM symposium on Discrete algorithms
Ring routing and wavelength translation
Proceedings of the ninth annual ACM-SIAM symposium on Discrete algorithms
Ancient and new algorithms for load balancing in the Lp norm
Proceedings of the ninth annual ACM-SIAM symposium on Discrete algorithms
Recovering branches on the tree of life: an approximation algorithm
Proceedings of the tenth annual ACM-SIAM symposium on Discrete algorithms
Distinguishing string selection problems
Proceedings of the tenth annual ACM-SIAM symposium on Discrete algorithms
Preemptive scheduling with job-dependent setup times
Proceedings of the tenth annual ACM-SIAM symposium on Discrete algorithms
When does a dynamic programming formulation guarantee the existence of an FPTAS?
Proceedings of the tenth annual ACM-SIAM symposium on Discrete algorithms
The full degree spanning tree problem
Proceedings of the tenth annual ACM-SIAM symposium on Discrete algorithms
Solving crossword puzzles as probabilistic constraint satisfaction
AAAI '99/IAAI '99 Proceedings of the sixteenth national conference on Artificial intelligence and the eleventh Innovative applications of artificial intelligence conference innovative applications of artificial intelligence
A generic customizable framework for inverse local consistency
AAAI '99/IAAI '99 Proceedings of the sixteenth national conference on Artificial intelligence and the eleventh Innovative applications of artificial intelligence conference innovative applications of artificial intelligence
Functional elimination and 0/1/All constraints
AAAI '99/IAAI '99 Proceedings of the sixteenth national conference on Artificial intelligence and the eleventh Innovative applications of artificial intelligence conference innovative applications of artificial intelligence
Automatic construction of semantic lexicons for learning natural language interfaces
AAAI '99/IAAI '99 Proceedings of the sixteenth national conference on Artificial intelligence and the eleventh Innovative applications of artificial intelligence conference innovative applications of artificial intelligence
DISTANCE-SAT: complexity and algorithms
AAAI '99/IAAI '99 Proceedings of the sixteenth national conference on Artificial intelligence and the eleventh Innovative applications of artificial intelligence conference innovative applications of artificial intelligence
Algorithm performance and problem structure for flow-shop scheduling
AAAI '99/IAAI '99 Proceedings of the sixteenth national conference on Artificial intelligence and the eleventh Innovative applications of artificial intelligence conference innovative applications of artificial intelligence
Approximation techniques for variations of the p-median problem
SAC '95 Proceedings of the 1995 ACM symposium on Applied computing
An analysis of BGP convergence properties
Proceedings of the conference on Applications, technologies, architectures, and protocols for computer communication
A rearrangeable algorithm for the construction delay-constrained dynamic multicast trees
IEEE/ACM Transactions on Networking (TON)
Authentication metric analysis and design
ACM Transactions on Information and System Security (TISSEC)
Algorithm 795: PHCpack: a general-purpose solver for polynomial systems by homotopy continuation
ACM Transactions on Mathematical Software (TOMS)
Symbolic manipulation of Boolean functions using a graphical representation
DAC '85 Proceedings of the 22nd ACM/IEEE Design Automation Conference
Exploiting parallelism in a switch-level simulation machine
DAC '86 Proceedings of the 23rd ACM/IEEE Design Automation Conference
Sehwa: a program for synthesis of pipelines
DAC '86 Proceedings of the 23rd ACM/IEEE Design Automation Conference
On Concurrency Control by Multiple Versions
ACM Transactions on Database Systems (TODS)
Compile-time memory reuse in logic programming languages through update in place
ACM Transactions on Programming Languages and Systems (TOPLAS)
On the partitionability of hierarchical radiosity
PVGS '99 Proceedings of the 1999 IEEE symposium on Parallel visualization and graphics
A new distributed route selection approach for channel establishment in real-time networks
IEEE/ACM Transactions on Networking (TON)
Password hardening based on keystroke dynamics
CCS '99 Proceedings of the 6th ACM conference on Computer and communications security
Partitioning parallel programs for macro-dataflow
LFP '86 Proceedings of the 1986 ACM conference on LISP and functional programming
Multiversion concurrency control—theory and algorithms
ACM Transactions on Database Systems (TODS)
On the complexity of designing optimal partial-match retrieval systems
ACM Transactions on Database Systems (TODS)
Efficient optimization of a class of relational expressions
ACM Transactions on Database Systems (TODS)
Incremental algorithms for minimal length paths
SODA '90 Proceedings of the first annual ACM-SIAM symposium on Discrete algorithms
Approximation alogorithms for the maximum acyclic subgraph problem
SODA '90 Proceedings of the first annual ACM-SIAM symposium on Discrete algorithms
Finding circular attributes in attribute grammars
Journal of the ACM (JACM)
Space and time-efficient memory layout for multiple inheritance
Proceedings of the 14th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
The Effect of a Connectivity Requirement on the Complexity of Maximum Subgraph Problems
Journal of the ACM (JACM)
The serializability of concurrent database updates
Journal of the ACM (JACM)
Flowshop scheduling with limited temporary storage
Journal of the ACM (JACM)
Variations on the Common Subexpression Problem
Journal of the ACM (JACM)
Journal of the ACM (JACM)
On the Complexity of Testing Implications of Functional and Join Dependencies
Journal of the ACM (JACM)
Algorithms for Scheduling Tasks on Unrelated Processors
Journal of the ACM (JACM)
On the complexity of integer programming
Journal of the ACM (JACM)
The complexity of restricted spanning tree problems
Journal of the ACM (JACM)
An Almost-Linear Algorithm for Two-Processor Scheduling
Journal of the ACM (JACM)
Polynomial-Time Aggregation of Integer Programming Problems
Journal of the ACM (JACM)
Probabilistic Algorithms for Deciding Equivalence of Straight-Line Programs
Journal of the ACM (JACM)
Syntactic Characterization of Tree Database Schemas
Journal of the ACM (JACM)
On the Structure of Armstrong Relations for Functional Dependencies
Journal of the ACM (JACM)
Random Trees and the Analysis of Branch and Bound Procedures
Journal of the ACM (JACM)
On the complexity of unique solutions
Journal of the ACM (JACM)
Parallel computing on personal computers
Proceedings of the 1986 ACM SIGSMALL/PC symposium on Small systems
An Efficient Fault-Tolerant Multicast Routing Protocol with Core-Based Tree Techniques
IEEE Transactions on Parallel and Distributed Systems
Improved parallel algorithms for the depth-first search and monotone circuit value problems
CSC '87 Proceedings of the 15th annual conference on Computer Science
Infuse: a tool for automatically managing and coordinating source changes in large systems
CSC '87 Proceedings of the 15th annual conference on Computer Science
Matching Hierarchical Structures Using Association Graphs
IEEE Transactions on Pattern Analysis and Machine Intelligence
Decomposing polygonal regions into convex quadrilaterals
SCG '85 Proceedings of the first annual symposium on Computational geometry
Power optimization using divide-and-conquer techniques for minimization of the number of operations
ACM Transactions on Design Automation of Electronic Systems (TODAES)
A methodology and algorithms for the design of hard real-time multitasking ASICs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
On the analysis of cooperation and antagonism in networks of communicating processes
Proceedings of the fourth annual ACM symposium on Principles of distributed computing
Trade-Off between Sequential and Time Warp-Based Parallel Simulation
IEEE Transactions on Parallel and Distributed Systems
Optimal Clustering of Tree-Sweep Computations for High-Latency Parallel Environments
IEEE Transactions on Parallel and Distributed Systems
On a New High Dimensional Weisfeiler-Lehman Algorithm
Journal of Algebraic Combinatorics: An International Journal
A generative model of narrative cases
ICAIL '99 Proceedings of the 7th international conference on Artificial intelligence and law
An Augmentation Algorithm for the Maximum Weighted Stable Set Problem
Computational Optimization and Applications
The Necessary Conditions for Clos-Type Nonblocking Multicast Networks
IEEE Transactions on Computers
Precedence-Constrained Task Allocation onto Point-to-Point Networks for Pipelined Execution
IEEE Transactions on Parallel and Distributed Systems
Observations on the complexity of composable simulation
Proceedings of the 31st conference on Winter simulation: Simulation---a bridge to the future - Volume 1
Construction through decomposition: a divide-and-conquer algorithm for the N-queens problem
ACM '86 Proceedings of 1986 ACM Fall joint computer conference
A least cost partition algorithm
ACM '86 Proceedings of 1986 ACM Fall joint computer conference
Deadlock-freedom (and saftey) of transactions in a distributed database
PODS '85 Proceedings of the fourth ACM SIGACT-SIGMOD symposium on Principles of database systems
Non-Preemptive Real-Time Scheduling of Multimedia Tasks
Real-Time Systems
On the complexity of flow-sensitive dataflow analyses
Proceedings of the 27th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
The zero/one multiple knapsack problem and genetic algorithms
SAC '94 Proceedings of the 1994 ACM symposium on Applied computing
SAC '94 Proceedings of the 1994 ACM symposium on Applied computing
A genetic algorithm for fragment allocation in a distributed database system
SAC '94 Proceedings of the 1994 ACM symposium on Applied computing
A stochastic approach to the bin-packing problem
SAC '94 Proceedings of the 1994 ACM symposium on Applied computing
A parallel island model genetic algorithm for the multiprocessor scheduling problem
SAC '94 Proceedings of the 1994 ACM symposium on Applied computing
A unified approach for solving bottleneck k-bipartition problems
CSC '91 Proceedings of the 19th annual conference on Computer Science
Providing QoS guarantees for disk I/O
Multimedia Systems
Off-line scheduling of a real-time system
SAC '98 Proceedings of the 1998 ACM symposium on Applied Computing
A global communication optimization technique based on data-flow analysis and linear algebra
ACM Transactions on Programming Languages and Systems (TOPLAS)
SAC '98 Proceedings of the 1998 ACM symposium on Applied Computing
The selfish gene algorithm: a new evolutionary optimization strategy
SAC '98 Proceedings of the 1998 ACM symposium on Applied Computing
Solving the rural postman problem using a genetic algorithm with a graph transformation
SAC '98 Proceedings of the 1998 ACM symposium on Applied Computing
A new technique for estimating lower bounds on latency for high level synthesis
GLSVLSI '00 Proceedings of the 10th Great Lakes symposium on VLSI
R-by-C Crozzle: an NP-hard problem
SAC '96 Proceedings of the 1996 ACM symposium on Applied Computing
SAC '96 Proceedings of the 1996 ACM symposium on Applied Computing
A genetic algorithm for the minimum broadcast time problem using a global precedence vector
SAC '96 Proceedings of the 1996 ACM symposium on Applied Computing
Multicommodity max-flow min-cut theorems and their use in designing approximation algorithms
Journal of the ACM (JACM)
Minimum cuts in near-linear time
Journal of the ACM (JACM)
SAC '97 Proceedings of the 1997 ACM symposium on Applied computing
Balanced assignment of cells in PCS networks
SAC '97 Proceedings of the 1997 ACM symposium on Applied computing
Fundamental Limits of Bayesian Inference: Order Parameters and Phase Transitions for Road Tracking
IEEE Transactions on Pattern Analysis and Machine Intelligence
Simple distributed algorithms for the cycle cutset problem
SAC '97 Proceedings of the 1997 ACM symposium on Applied computing
Sequencing jobs with readiness times and tails on parallel machines
SAC '97 Proceedings of the 1997 ACM symposium on Applied computing
A parallel multi-operation scheduling problem with machine order constraints
SAC '97 Proceedings of the 1997 ACM symposium on Applied computing
Analyzing the expected execution times of parallel programs
SAC '97 Proceedings of the 1997 ACM symposium on Applied computing
ISPD '00 Proceedings of the 2000 international symposium on Physical design
Buffer minimization in pass transistor logic
ISPD '00 Proceedings of the 2000 international symposium on Physical design
Using Data Flow Information to Obtain Efficient Check Sets for Algorithm-Based Fault Tolerance
International Journal of Parallel Programming
File Assignment in Parallel I/O Systems with Minimal Variance of Service Time
IEEE Transactions on Computers
A New Model of Computation for Learning Vision Modules from Examples
Journal of Mathematical Imaging and Vision
OOPM/RT: a multimodeling methodology for real-time simulation
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Leader Election in Asynchronous Distributed Systems
IEEE Transactions on Computers
Generation of Execution Sequences for Modular Time Critical Systems
IEEE Transactions on Software Engineering
Verification of Safety Properties Using IntegerProgramming: Beyond the State Equation
Formal Methods in System Design
Structural gate decomposition for depth-optimal technology mapping in LUT-based FPGA designs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Simultaneous reference allocation in code generation for dual data memory bank ASIPs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
DTD inference for views of XML data
PODS '00 Proceedings of the nineteenth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Transversing itemset lattices with statistical metric pruning
PODS '00 Proceedings of the nineteenth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Computational properties of metaquerying problems
PODS '00 Proceedings of the nineteenth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
STOC '00 Proceedings of the thirty-second annual ACM symposium on Theory of computing
Approximating the domatic number
STOC '00 Proceedings of the thirty-second annual ACM symposium on Theory of computing
Improved approximations of crossings in graph drawings
STOC '00 Proceedings of the thirty-second annual ACM symposium on Theory of computing
A matter of degree: improved approximation algorithms for degree-bounded minimum spanning trees
STOC '00 Proceedings of the thirty-second annual ACM symposium on Theory of computing
STOC '00 Proceedings of the thirty-second annual ACM symposium on Theory of computing
A unified approach to approximating resource allocation and scheduling
STOC '00 Proceedings of the thirty-second annual ACM symposium on Theory of computing
Shock Graphs and Shape Matching
International Journal of Computer Vision
Permutation-based evolutionary algorithms for multidimensional knapsack problems
SAC '00 Proceedings of the 2000 ACM symposium on Applied computing - Volume 1
A weighted coding in a genetic algorithm for the degree-constrained minimum spanning tree problem
SAC '00 Proceedings of the 2000 ACM symposium on Applied computing - Volume 1
A distributed algorithm for delay-constrained unicast routing
IEEE/ACM Transactions on Networking (TON)
PADS '00 Proceedings of the fourteenth workshop on Parallel and distributed simulation
A hybrid channel allocation method for wireless communication networks
International Journal of Network Management
Floorplan sizing by linear programming approximation
Proceedings of the 37th Annual Design Automation Conference
Timing-driven placement based on partitioning with dynamic cut-net control
Proceedings of the 37th Annual Design Automation Conference
Forensic engineering techniques for VLSI CAD tools
Proceedings of the 37th Annual Design Automation Conference
Scheduling a pipelined operator graph
SODA '00 Proceedings of the eleventh annual ACM-SIAM symposium on Discrete algorithms
A PTAS for the multiple knapsack problem
SODA '00 Proceedings of the eleventh annual ACM-SIAM symposium on Discrete algorithms
Approximation algorithms for data placement on parallel disks
SODA '00 Proceedings of the eleventh annual ACM-SIAM symposium on Discrete algorithms
A (2 + &egr;)-approximation scheme for minimum domination on circle graphs
SODA '00 Proceedings of the eleventh annual ACM-SIAM symposium on Discrete algorithms
The rectilinear Steiner arborescence problem is NP-complete
SODA '00 Proceedings of the eleventh annual ACM-SIAM symposium on Discrete algorithms
Efficient and Precise Cache Behavior Prediction for Real-TimeSystems
Real-Time Systems
Online multicast routing with bandwidth guarantees: a new approach using multicast network flow
Proceedings of the 2000 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
An efficient algorithm for finding a path subject to two additive constraints
Proceedings of the 2000 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Implication graph based domino logic synthesis
ICCAD '99 Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design
Memory bank customization and assignment in behavioral synthesis
ICCAD '99 Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design
Improved interconnect sharing by identity operation insertion
ICCAD '99 Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design
Localized watermarking: methodology and application to operation scheduling
ICCAD '99 Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design
Broadcast scheduling optimization for heterogeneous cluster systems
Proceedings of the twelfth annual ACM symposium on Parallel algorithms and architectures
A note on the complexity of propositional Hoare logic
ACM Transactions on Computational Logic (TOCL)
Information Security, Mathematics, and Public-Key Cryptography
Designs, Codes and Cryptography - Special issue on towards a quarter-century of public key cryptography
A BDD-based satisfiability infrastructure using the unate recursive paradigm
DATE '00 Proceedings of the conference on Design, automation and test in Europe
Architectural power optimization by bus splitting
DATE '00 Proceedings of the conference on Design, automation and test in Europe
Static scheduling algorithms for allocating directed task graphs to multiprocessors
ACM Computing Surveys (CSUR)
Spectral compression of mesh geometry
Proceedings of the 27th annual conference on Computer graphics and interactive techniques
Downlink scheduling in CDMA data networks
MobiCom '00 Proceedings of the 6th annual international conference on Mobile computing and networking
Efficient identification of Web communities
Proceedings of the sixth ACM SIGKDD international conference on Knowledge discovery and data mining
Hardening soft information sources
Proceedings of the sixth ACM SIGKDD international conference on Knowledge discovery and data mining
Divide-and-conquer approximation algorithms via spreading metrics
Journal of the ACM (JACM)
A Formal Model for Definition and Simulation of Generic Neural Networks
Neural Processing Letters
ADMIT-1: automatic differentiation and MATLAB interface toolbox
ACM Transactions on Mathematical Software (TOMS)
Timing-driven routing for symmetrical array-based FPGAs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Stochastic sequential machine synthesis with application to constrained sequence generation
ACM Transactions on Design Automation of Electronic Systems (TODAES)
On-chip vs. off-chip memory: the data partitioning problem in embedded processor-based systems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Efficient routability check algorithms for segmented channel routing
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Key management for restricted multicast using broadcast encryption
IEEE/ACM Transactions on Networking (TON)
An efficient cell-scheduling algorithm for multicast ATM switching systems
IEEE/ACM Transactions on Networking (TON)
Prioritizing test cases for regression testing
Proceedings of the 2000 ACM SIGSOFT international symposium on Software testing and analysis
Compiler techniques for code compaction
ACM Transactions on Programming Languages and Systems (TOPLAS)
A comparative study of static and profile-based heuristics for inlining
DYNAMO '00 Proceedings of the ACM SIGPLAN workshop on Dynamic and adaptive compilation and optimization
Fusion-based register allocation
ACM Transactions on Programming Languages and Systems (TOPLAS)
Java bytecode compression for low-end embedded systems
ACM Transactions on Programming Languages and Systems (TOPLAS)
Automatic recording agent for digital video server
MULTIMEDIA '00 Proceedings of the eighth ACM international conference on Multimedia
A Dynamic Diffusion Optimization Method for Irregular Finite Element Graph Partitioning
The Journal of Supercomputing
Space efficient bitmap indexing
Proceedings of the ninth international conference on Information and knowledge management
First story detection in TDT is hard
Proceedings of the ninth international conference on Information and knowledge management
On Optimal Replacement of Nonuniform Cache Objects
IEEE Transactions on Computers
IEEE/ACM Transactions on Networking (TON)
Complexity of Minimum Length Scheduling for Precedence Constrained Messages in Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Generalized map coloring for use in geographical information systems
Proceedings of the 8th ACM international symposium on Advances in geographic information systems
Building tractable disjunctive constraints
Journal of the ACM (JACM)
Algorithms for Generating Fundamental Cycles in a Graph
ACM Transactions on Mathematical Software (TOMS)
ACM Computing Surveys (CSUR)
Code Generation and Storage Allocation for Machines with Span-Dependent Instructions
ACM Transactions on Programming Languages and Systems (TOPLAS)
Postpass Code Optimization of Pipeline Constraints
ACM Transactions on Programming Languages and Systems (TOPLAS)
Jump Minimization in Linear Time
ACM Transactions on Programming Languages and Systems (TOPLAS) - Lecture notes in computer science Vol. 174
Task Allocation on a Network of Processors
IEEE Transactions on Computers
An overview of computational complexity
Communications of the ACM
Dynamic Task Scheduling Using Online Optimization
IEEE Transactions on Parallel and Distributed Systems
On the Influence of Start-Up Costs in Scheduling Divisible Loads on Bus Networks
IEEE Transactions on Parallel and Distributed Systems
Master-Slave Strategy and Polynomial Approximation
Computational Optimization and Applications
IEEE Transactions on Computers
Computer-Aided complexity classification of combinational problems
Communications of the ACM
Teaching internet algorithmics
Proceedings of the thirty-second SIGCSE technical symposium on Computer Science Education
Unslotted deflection routing: a practical and efficient protocol for multihop optical networks
IEEE/ACM Transactions on Networking (TON)
Dynamic slot allocation (DSA) in indoor SDMA/TDMA using smart antenna basestation
IEEE/ACM Transactions on Networking (TON)
Approximation algorithms for TSP with neighborhoods in the plane
SODA '01 Proceedings of the twelfth annual ACM-SIAM symposium on Discrete algorithms
Reconciling simplicity and realism in parallel disk models
SODA '01 Proceedings of the twelfth annual ACM-SIAM symposium on Discrete algorithms
Optimal covering tours with turn costs
SODA '01 Proceedings of the twelfth annual ACM-SIAM symposium on Discrete algorithms
Parallel processor scheduling with delay constraints
SODA '01 Proceedings of the twelfth annual ACM-SIAM symposium on Discrete algorithms
Approximation algorithms for extensible bin packing
SODA '01 Proceedings of the twelfth annual ACM-SIAM symposium on Discrete algorithms
PSBLAS: a library for parallel linear algebra computation on sparse matrices
ACM Transactions on Mathematical Software (TOMS)
Note on generalization in experimental algorithmics
ACM Transactions on Mathematical Software (TOMS)
An efficient algorithm for the configuration problem of dominance graphs
SODA '01 Proceedings of the twelfth annual ACM-SIAM symposium on Discrete algorithms
Abstraction of word-level linear arithmetic functions from bit-level component descriptions
Proceedings of the conference on Design, automation and test in Europe
An efficient learning procedure for multiple implication checks
Proceedings of the conference on Design, automation and test in Europe
Optimal FPGA module placement with temporal precedence constraints
Proceedings of the conference on Design, automation and test in Europe
Proceedings of the conference on Design, automation and test in Europe
A constraint driven approach to loop pipelining and register binding
Proceedings of the conference on Design, automation and test in Europe
Feasible two-way circuit partitioning with complex resource constraints
ASP-DAC '00 Proceedings of the 2000 Asia and South Pacific Design Automation Conference
The greedy path-merging algorithm for sequence assembly
RECOMB '01 Proceedings of the fifth annual international conference on Computational biology
RECOMB '01 Proceedings of the fifth annual international conference on Computational biology
Design and analysis of physical design algorithms
Proceedings of the 2001 international symposium on Physical design
A comparative study of two Boolean formulations of FPGA detailed routing constraints
Proceedings of the 2001 international symposium on Physical design
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
Optimized address assignment for DSPs with SIMD memory accesses
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
Integrated power supply planning and floorplanning
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
Power minization in LUT-based FPGA technology mapping
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
Optimal test access architectures for system-on-a-chip
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Computer Aided Design of Fault-Tolerant Application Specific Programmable Processors
IEEE Transactions on Computers
Exploring Hypermedia Processor Design Space
Journal of VLSI Signal Processing Systems - Special issue on multimedia signal processing
Algorithms to detect chained-inference faults in information distribution systems
Proceedings of the 2001 ACM symposium on Applied computing
An Efficient Algorithm for Finding a Maximum Weight k-Independent Set on Trapezoid Graphs
Computational Optimization and Applications
ACM Computing Surveys (CSUR)
On XML integrity constraints in the presence of DTDs
PODS '01 Proceedings of the twentieth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
XML with data values: typechecking revisited
PODS '01 Proceedings of the twentieth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
SPARTAN: a model-based semantic compression system for massive data tables
SIGMOD '01 Proceedings of the 2001 ACM SIGMOD international conference on Management of data
Data and memory optimization techniques for embedded systems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
ATTac-2000: an adaptive autonomous bidding agent
Proceedings of the fifth international conference on Autonomous agents
Analysis of boundary representation model rectification
Proceedings of the sixth ACM symposium on Solid modeling and applications
Probabilistic logic programming with conditional constraints
ACM Transactions on Computational Logic (TOCL)
Dimensioning server access bandwidth and multicast routing in overlay networks
NOSSDAV '01 Proceedings of the 11th international workshop on Network and operating systems support for digital audio and video
Watermarking of SAT using combinatorial isolation lemmas
Proceedings of the 38th annual Design Automation Conference
Watermarking graph partitioning solutions
Proceedings of the 38th annual Design Automation Conference
Proceedings of the 38th annual Design Automation Conference
Scheduling on hierarchical clusters using malleable tasks
Proceedings of the thirteenth annual ACM symposium on Parallel algorithms and architectures
SCG '01 Proceedings of the seventeenth annual symposium on Computational geometry
Algorithms for congruent sphere packing and applications
SCG '01 Proceedings of the seventeenth annual symposium on Computational geometry
Efficient Local Search for DAG Scheduling
IEEE Transactions on Parallel and Distributed Systems
Optimal Placement of Replicas in Trees with Read, Write, and Storage Costs
IEEE Transactions on Parallel and Distributed Systems
Stackelberg scheduling strategies
STOC '01 Proceedings of the thirty-third annual ACM symposium on Theory of computing
Provisioning a virtual private network: a network design problem for multicommodity flow
STOC '01 Proceedings of the thirty-third annual ACM symposium on Theory of computing
Private approximation of NP-hard functions
STOC '01 Proceedings of the thirty-third annual ACM symposium on Theory of computing
The complexity of maximal constraint languages
STOC '01 Proceedings of the thirty-third annual ACM symposium on Theory of computing
A mixed-integer programming model for the cellular telecommunication network design
DIALM '01 Proceedings of the 5th international workshop on Discrete algorithms and methods for mobile computing and communications
The complexity of acyclic conjunctive queries
Journal of the ACM (JACM)
ACM SIGACT News
Proceedings of the 2001 conference on Applications, technologies, architectures, and protocols for computer communications
Algorithms for provisioning virtual private networks in the hose model
Proceedings of the 2001 conference on Applications, technologies, architectures, and protocols for computer communications
Processor modeling and code selection for retargetable compilation
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Von Neumann hybrid cellular automata for generating deterministic test sequences
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Scheduling time-constrained instructions on pipelined processors
ACM Transactions on Programming Languages and Systems (TOPLAS)
Finding topic words for hierarchical summarization
Proceedings of the 24th annual international ACM SIGIR conference on Research and development in information retrieval
Proceedings of the 2001 international symposium on Symbolic and algebraic computation
ILP-based Instruction Scheduling for IA-64
OM '01 Proceedings of the 2001 ACM SIGPLAN workshop on Optimization of middleware and distributed systems
Design and implementation of move-based heuristics for VLSI hypergraph partitioning
Journal of Experimental Algorithmics (JEA)
A Five-Phase Reservation Protocol (FPRP) for Mobile Ad Hoc Networks
Wireless Networks
Statistical mechanics methods and phase transitions in optimizationproblems
Theoretical Computer Science - Phase transitions in combinatorial problems
Weighted connected k-domination and weighted k-dominating clique in distance-hereditary graphs
Theoretical Computer Science
Characterizing bipartite Toeplitz graphs
Theoretical Computer Science
On the landscape ruggedness of the quadratic assignment problem
Theoretical Computer Science
NP-completeness for calculating power indices of weighted majority games
Theoretical Computer Science
ACM SIGACT News
Determining if (FC-) (conflict-directed) back jumping visits a given node is NP-hard
Artificial Intelligence
Combinatorial auctions with decreasing marginal utilities
Proceedings of the 3rd ACM conference on Electronic Commerce
Hardness and methods to solve CLIQUE
Journal of Computer Science and Technology
Conditional scheduling for embedded systems using genetic list scheduling
ISSS '00 Proceedings of the 13th international symposium on System synthesis
ACM Transactions on Database Systems (TODS)
An extension of the relational data model to incorporate ordered domains
ACM Transactions on Database Systems (TODS)
Some optimal inapproximability results
Journal of the ACM (JACM)
A unified approach to approximating resource allocation and scheduling
Journal of the ACM (JACM)
Co-clustering documents and words using bipartite spectral graph partitioning
Proceedings of the seventh ACM SIGKDD international conference on Knowledge discovery and data mining
Minerva: An automated resource provisioning tool for large-scale storage systems
ACM Transactions on Computer Systems (TOCS)
Bounding space usage of conservative garbage collectors
POPL '02 Proceedings of the 29th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
The hardness of cache conscious data placement
POPL '02 Proceedings of the 29th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
ACM Transactions on Mathematical Software (TOMS)
Using acceptors as transducers
Theoretical Computer Science
Theoretical Computer Science
Encoding Hamiltonian circuits into multiplicative linear logic
Theoretical Computer Science
IDMaps: a global internet host distance estimation service
IEEE/ACM Transactions on Networking (TON)
Scheduling of real-time messages in optical broadcast-and-select networks
IEEE/ACM Transactions on Networking (TON)
Monotonic reductions, representative equivalence, and compilation of intractable problems
Journal of the ACM (JACM)
A fast algorithm for context-aware buffer insertion
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Board-level multiterminal net assignment
Proceedings of the 12th ACM Great Lakes symposium on VLSI
Efficient Matching and Indexing of Graph Models in Content-Based Retrieval
IEEE Transactions on Pattern Analysis and Machine Intelligence - Graph Algorithms and Computer Vision
Prioritizing Test Cases For Regression Testing
IEEE Transactions on Software Engineering
Quantifying and enhancing power awareness of VLSI systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems - System Level Design
Automatic architectual clustering of software
Advances in software engineering
Mesh Partitioning for Efficient Use of Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Multi-fidelity algorithms for interactive mobile applications
Wireless Networks
A distributed algorithm for dynamic channel allocation
Mobile Networks and Applications - Analysis and Design of Multi-Service Wireless Networks
The nonapproximability of OBDD minimization
Information and Computation
The stable paths problem and interdomain routing
IEEE/ACM Transactions on Networking (TON)
Scalable, efficient epidemiological simulation
Proceedings of the 2002 ACM symposium on Applied computing
Finding maximum independent sets in graphs arising from coding theory
Proceedings of the 2002 ACM symposium on Applied computing
Multilevel algorithms for multi-constraint graph partitioning
SC '98 Proceedings of the 1998 ACM/IEEE conference on Supercomputing
Evolutionary Algorithms for Allocating Data in Distributed Database Systems
Distributed and Parallel Databases
3-manifold knot genus is NP-complete
STOC '02 Proceedings of the thiry-fourth annual ACM symposium on Theory of computing
Multicast: concept, problems, routing protocols, algorithms and QoS extensions
Distributed multimedia databases
Information and Computation
Document classification, indexing and abstracting may be inherently difficult problems
SIGIR '81 Proceedings of the 4th annual international ACM SIGIR conference on Information storage and retrieval: theoretical issues in information retrieval
Disjoint pattern database heuristics
Artificial Intelligence - Chips challenging champions: games, computers and Artificial Intelligence
A probabilistic approach to solving crossword puzzles
Artificial Intelligence - Chips challenging champions: games, computers and Artificial Intelligence
Location management in cellular networks
Handbook of wireless networks and mobile computing
Ensemble planning for digital audio broadcasting
Handbook of wireless networks and mobile computing
Broadcast scheduling for TDMA in wireless multihop networks
Handbook of wireless networks and mobile computing
An argument-based approach to reasoning with specificity
Artificial Intelligence
Backjump-based backtracking for constraint satisfaction problems
Artificial Intelligence
Power-aware operating systems for interactive systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A local search approximation algorithm for k-means clustering
Proceedings of the eighteenth annual symposium on Computational geometry
Algorithmic aspects of topology control problems for ad hoc networks
Proceedings of the 3rd ACM international symposium on Mobile ad hoc networking & computing
Approximating minimum size weakly-connected dominating sets for clustering mobile ad hoc networks
Proceedings of the 3rd ACM international symposium on Mobile ad hoc networking & computing
Watermarking integer linear programming solutions
Proceedings of the 39th annual Design Automation Conference
Forward-looking objective functions: concept & applications in high level synthesis
Proceedings of the 39th annual Design Automation Conference
Computational Optimization and Applications
A GRASP for Coloring Sparse Graphs
Computational Optimization and Applications
Algorithmics and applications of tree and graph searching
Proceedings of the twenty-first ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Containment and equivalence for an XPath fragment
Proceedings of the twenty-first ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
On propagation of deletions and annotations through views
Proceedings of the twenty-first ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Proceedings of the twenty-first ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
On verifying consistency of XML specifications
Proceedings of the twenty-first ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
On the complexity of approximate query optimization
Proceedings of the twenty-first ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
On Exact Learning of Unordered Tree Patterns
Machine Learning
An approximation algorithm for the group Steiner problem
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
Improving table compression with combinatorial optimization
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
Guessing secrets with inner product questions
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
An algorithm for counting maximum weighted independent sets and its applications
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
Mixing time and long paths in graphs
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
The freeze-tag problem: how to wake up a swarm of robots
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
Throughput maximization of real-time scheduling with batching
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
Pricing multicasting in more practical network models
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
Mapping reference code to irregular DSPs within the retargetable, optimizing compiler COGEN(T)
Proceedings of the 34th annual ACM/IEEE international symposium on Microarchitecture
Topology control and routing in ad hoc networks: a survey
ACM SIGACT News
Efficient algorithms for minimizing tree pattern queries
Proceedings of the 2002 ACM SIGMOD international conference on Management of data
Proceedings of the 2002 ACM SIGMOD international conference on Management of data
Parallel scheduling problems in next generation wireless networks
Proceedings of the fourteenth annual ACM symposium on Parallel algorithms and architectures
Parallel beta reduction is not elementary recursive
Information and Computation
Discovering local structure in gene expression data: the order-preserving submatrix problem
Proceedings of the sixth annual international conference on Computational biology
Revealing protein structures: a new method for mapping antibody epitopes
Proceedings of the sixth annual international conference on Computational biology
String barcoding: uncovering optimal virus signatures
Proceedings of the sixth annual international conference on Computational biology
An Efficient Partitioning Algorithm for Distributed Virtual Environment Systems
IEEE Transactions on Parallel and Distributed Systems
Performance-Effective and Low-Complexity Task Scheduling for Heterogeneous Computing
IEEE Transactions on Parallel and Distributed Systems
The drawability problem for minimum weight triangulations
Theoretical Computer Science
A priori optimization for the probabilistic maximum independent set problem
Theoretical Computer Science
Computational complexity of some problems involving congruences on algebras
Theoretical Computer Science
Decision tree approximations of Boolean functions
Theoretical Computer Science
On the complexity of recognizing the Hilbert basis of a linear diophantine system
Theoretical Computer Science
Theoretical Computer Science
On the expressive power of planning formalisms
Logic-based artificial intelligence
An architectural co-synthesis algorithm for distributed, embedded computing systems
Readings in hardware/software co-design
Constraint analysis for DSP code generation
Readings in hardware/software co-design
On XML integrity constraints in the presence of DTDs
Journal of the ACM (JACM)
A State-of-the-Art Survey on Software Merging
IEEE Transactions on Software Engineering
Efficient scheduling of conditional behaviors for high-level synthesis
ACM Transactions on Design Automation of Electronic Systems (TODAES)
False-noise analysis using logic implications
ACM Transactions on Design Automation of Electronic Systems (TODAES)
A precise inter-procedural data flow algorithm
POPL '81 Proceedings of the 8th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Static and dynamic low-congested interval routing schemes
Theoretical Computer Science
A survey of graph layout problems
ACM Computing Surveys (CSUR)
Why so many clustering algorithms: a position paper
ACM SIGKDD Explorations Newsletter
SPARTAN: using constrained models for guaranteed-error semantic compression
ACM SIGKDD Explorations Newsletter
Logically Clustered Architectures for Networked Databases
Distributed and Parallel Databases
An Efficient Multivalued Hopfield Network for the Traveling Salesman Problem
Neural Processing Letters
Combining topological and size information for spatial reasoning
Artificial Intelligence
Fixed-parameter complexity in AI and nonmonotonic reasoning
Artificial Intelligence
A Design Diversity Metric and Analysis of Redundant Systems
IEEE Transactions on Computers
On Finding Feasible Solutions for the Delay Constrained Group Multicast Routing Problem
IEEE Transactions on Computers
Low-Cost Task Scheduling for Distributed-Memory Machines
IEEE Transactions on Parallel and Distributed Systems
Program schemes, arrays, Lindström quantifiers and zero-one laws
Theoretical Computer Science
Operational and abstract semantics of the query language G-Log
Theoretical Computer Science
Counting H-colorings of partial k-trees
Theoretical Computer Science
Modelization of deterministic rational relations
Theoretical Computer Science
On the algorithmic inversion of the discrete Radon transform
Theoretical Computer Science
Minimum-energy broadcast in all-wireless networks: NP-completeness and distribution issues
Proceedings of the 8th annual international conference on Mobile computing and networking
Efficient integration of multi-hop wireless and wired networks with QoS constraints
Proceedings of the 8th annual international conference on Mobile computing and networking
Data structures for Boolean functions
Computational Discrete Mathematics
Parallel subgraph matching on a hierarchical interconnection network
Hardware implementation of intelligent systems
Scalable analysis and design of ad hoc networks via random graph theory
DIALM '02 Proceedings of the 6th international workshop on Discrete algorithms and methods for mobile computing and communications
Establishing wireless conference calls under delay constraints
Proceedings of the twenty-first annual symposium on Principles of distributed computing
Algorithms for provisioning virtual private networks in the hose model
IEEE/ACM Transactions on Networking (TON)
Multicast routing and wavelength assignment in multihop optical networks
IEEE/ACM Transactions on Networking (TON)
Optimal code for control structures
POPL '82 Proceedings of the 9th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Query processing utilizing dependencies and horizontal decomposition
SIGMOD '83 Proceedings of the 1983 ACM SIGMOD international conference on Management of data
Optimal semijoin schedules for query processing in local distributed database systems
SIGMOD '81 Proceedings of the 1981 ACM SIGMOD international conference on Management of data
Variable neighborhood search for the degree-constrained minimum spanning tree problem
Discrete Applied Mathematics - Special issue: Third ALIO-EURO meeting on applied combinatorial optimization
The MIN PFS problem and piecewise linear model estimation
Discrete Applied Mathematics - Special issue: Third ALIO-EURO meeting on applied combinatorial optimization
COOLCAT: an entropy-based algorithm for categorical clustering
Proceedings of the eleventh international conference on Information and knowledge management
The greedy path-merging algorithm for contig scaffolding
Journal of the ACM (JACM)
Information Processing Letters
Information Processing Letters
Finding an efficient rewriting of OLAP queries using materialized views in data warehouses
Decision Support Systems
Finite-Time Performance Analysis of Static Simulated Annealing Algorithms
Computational Optimization and Applications
Scheduling parallel machines with a single server: some solvable cases and heuristics
Computers and Operations Research
The cable trench problem: combining the shortest path and minimum spanning tree problems
Computers and Operations Research
Capacitated location-allocation problems on a line
Computers and Operations Research
On the domination number of a graph
Discrete Mathematics
Width-restricted layering of acyclic digraphs with consideration of dummy nodes
Information Processing Letters
Minimizing the total weighted completion time of deteriorating jobs
Information Processing Letters
Disconnected 2-factors in planar cubic bridgeless graphs
Journal of Combinatorial Theory Series B
The NP-completeness of (1,r)-subcolorability of cubic graphs
Information Processing Letters
Functional and inclusion dependencies a graph theoretic approach
PODS '84 Proceedings of the 3rd ACM SIGACT-SIGMOD symposium on Principles of database systems
On the cyclic to acyclic scheme transformation and solving cyclic queries
PODS '84 Proceedings of the 3rd ACM SIGACT-SIGMOD symposium on Principles of database systems
Inference rules for functional and inclusion dependencies
PODS '83 Proceedings of the 2nd ACM SIGACT-SIGMOD symposium on Principles of database systems
The complexity of evaluating relational queries
PODS '83 Proceedings of the 2nd ACM SIGACT-SIGMOD symposium on Principles of database systems
GYO reductions, canonical connections, tree and cyclic schemas and tree projections
PODS '83 Proceedings of the 2nd ACM SIGACT-SIGMOD symposium on Principles of database systems
PODS '83 Proceedings of the 2nd ACM SIGACT-SIGMOD symposium on Principles of database systems
Sort sets in the relational model
PODS '83 Proceedings of the 2nd ACM SIGACT-SIGMOD symposium on Principles of database systems
The tree property is fundamental for query processing
PODS '82 Proceedings of the 1st ACM SIGACT-SIGMOD symposium on Principles of database systems
On concurrency control by multiple versions
PODS '82 Proceedings of the 1st ACM SIGACT-SIGMOD symposium on Principles of database systems
Inclusion dependencies and their interaction with functional dependencies
PODS '82 Proceedings of the 1st ACM SIGACT-SIGMOD symposium on Principles of database systems
Foundations for multifile design by application partitioning
PODS '82 Proceedings of the 1st ACM SIGACT-SIGMOD symposium on Principles of database systems
Efficient algorithms for debugging timing constraint violations
Proceedings of the 8th ACM/IEEE international workshop on Timing issues in the specification and synthesis of digital systems
A linear time algorithm for recognizing regular boolean functions
Journal of Algorithms
Exploiting structure in quantified formulas
Journal of Algorithms
Unified approach fuzzy graph problems
Fuzzy Sets and Systems - Theme: Decision and optimization
Programming with non-determinism in deductive databases
Annals of Mathematics and Artificial Intelligence
On non-determinism in machines and languages
Annals of Mathematics and Artificial Intelligence
A general framework for time granularity and its application to temporal reasoning
Annals of Mathematics and Artificial Intelligence
Nonmonotonic reasoning: from complexity to algorithms
Annals of Mathematics and Artificial Intelligence
Tractable plan existence does not imply tractable plan generation
Annals of Mathematics and Artificial Intelligence
Annals of Mathematics and Artificial Intelligence
Horn minimization by iterative decomposition
Annals of Mathematics and Artificial Intelligence
Inference guiding in propositional knowledge bases
Annals of Mathematics and Artificial Intelligence
Constraints and universal algebra
Annals of Mathematics and Artificial Intelligence
Discrete Mathematics
New heuristics for one-dimensional bin-packing
Computers and Operations Research
Scheduling of parallel identical machines to maximize the weighted number of just-in-time jobs
Computers and Operations Research
Estimation of all-terminal network reliability using an artificial neural network
Computers and Operations Research
Heuristic algorithms for packing of multiple-group multicasting
Computers and Operations Research
Easy Cases of Probabilistic Satisfiability
Annals of Mathematics and Artificial Intelligence
Δ: Set-theoretic query language capturing LOGSPACE
Annals of Mathematics and Artificial Intelligence
Probabilistic Default Reasoning with Conditional Constraints
Annals of Mathematics and Artificial Intelligence
A Connectionist Approach for Solving Large ConstraintSatisfaction Problems
Applied Intelligence
A Neural Network Parallel Algorithm for Meeting Schedule Problems
Applied Intelligence
A Model-Based Diagnosis System for Identifying Faulty Components in Digital Circuits
Applied Intelligence
Energy Saving Testing of Circuits
Automation and Remote Control
Machine Learning on the Basis of Formal Concept Analysis
Automation and Remote Control
Algorithms to Seek the Optimal Structure of the Organizational System
Automation and Remote Control
Automated Software Engineering
Advanced Call Scheduling for Testing a Telecommunications Network
BT Technology Journal
Spine routing in ad hoc networks
Cluster Computing
Link contention-constrained scheduling and mapping of tasks
Cluster Computing
Traffic load monitoring and load balancing for the Internet
Cluster Computing
Constraints
Scheduling of Time-Triggered Real-Time Systems
Constraints
Scheduling under Labour Resource Constraints
Constraints
Parallel Algorithms for Discovery of Association Rules
Data Mining and Knowledge Discovery
Electronic Commerce Research
A Delay-Constrained Least-Cost Multicast Routing Heuristic for Dynamic Multicast Groups
Electronic Commerce Research
Computational Complexity of Simultaneous Elementary Matching Problems
Journal of Automated Reasoning
Single Step Tableaux for Modal Logics
Journal of Automated Reasoning
Single Elementary Associative-Commutative Matching
Journal of Automated Reasoning
Improved Large-Step Markov Chain Variants for the Symmetric TSP
Journal of Heuristics
A Computational Study of Shifting Bottleneck Procedures forShop Scheduling Problems
Journal of Heuristics
Combinatorial Optimization by Dynamic Contraction
Journal of Heuristics
Constraint-Based Job Shop Scheduling with {\sc Ilog\ Scheduler}
Journal of Heuristics
Heuristic Solution of Open Bin Packing Problems
Journal of Heuristics
Graph Coloring with Adaptive Evolutionary Algorithms
Journal of Heuristics
A Bionomic Approach to the Capacitated p-Median Problem
Journal of Heuristics
Journal of Heuristics
A Response to ’’On method overfitting‘‘
Journal of Heuristics
Solution of the Cumulative Assignment Problem With a Well-Structured TabuSearch Method
Journal of Heuristics
Applying GIS and Combinatorial Optimization to Fiber DeploymentPlans
Journal of Heuristics
ATM Routing Algorithms for Multimedia Trafficin Private ATM Networks
Journal of Heuristics
Solving Vehicle Routing Problems Using Constraint Programming and Metaheuristics
Journal of Heuristics
On the Convergence of Tabu Search
Journal of Heuristics
Maximally Disjoint Solutions of the Set Covering Problem
Journal of Heuristics
A Fast and Effective Algorithm for the Feedback Arc Set Problem
Journal of Heuristics
Experimental Evaluation of Heuristic Optimization Algorithms: A Tutorial
Journal of Heuristics
Bounds and Tabu Search for a Cyclic Max-Min Scheduling Problem
Journal of Heuristics
Adaptive, Restart, Randomized Greedy Heuristics for Maximum Clique
Journal of Heuristics
Comparison of Algorithms for the Degree Constrained Minimum Spanning Tree
Journal of Heuristics
Constraint Propagation in Graph Coloring
Journal of Heuristics
Greedy and Local Search Heuristics for Unconstrained Binary Quadratic Programming
Journal of Heuristics
Cooperative Strategies for Solving the Bicriteria Sparse Multiple Knapsack Problem
Journal of Heuristics
On the Hardness of the Quadratic Assignment Problem with Metaheuristics
Journal of Heuristics
Complete Local Search with Memory
Journal of Heuristics
A Pseudo-Polynomial Primal-Dual Algorithm for Globally Solving aProduction-Transportation Problem
Journal of Global Optimization
A New Semidefinite Programming Bound for Indefinite Quadratic Forms Over a Simplex
Journal of Global Optimization
Computing a Minimum Weight Triangulation of a Sparse Point Set
Journal of Global Optimization
Journal of Global Optimization
On Simulated Annealing and Nested Annealing
Journal of Global Optimization
Polynomial algorithms for a class of minimum rank-two cost path problems
Journal of Global Optimization
Solving the Sum-of-Ratios Problem by an Interior-Point Method
Journal of Global Optimization
Finding independent sets in a graph using continuous multivariable polynomial formulations
Journal of Global Optimization
On solving the maximum clique problem
Journal of Global Optimization
Optimization over the efficient set: overview
Journal of Global Optimization
DORA: Efficient Routing for MPLS Traffic Engineering
Journal of Network and Systems Management
QoS Management by Means of ApplicationControl
Journal of Network and Systems Management
Simplicity and Robustness of Fast and Frugal Heuristics
Minds and Machines
Multimedia Tools and Applications
The Problem of Schedule Construction in the Joint Design of Hardware and Software
Programming and Computing Software
On open shortest path first related network optimisation problems
Performance Evaluation
Synthesis of Embedded Software from Synchronous Dataflow Specifications
Journal of VLSI Signal Processing Systems
Suppressing marginal totals from a two-dimensional table to protect sensitive information
Statistics and Computing
Efficient Algorithms for the Inference of Minimum Size DFAs
Machine Learning
The importance of the P versus NP question
Journal of the ACM (JACM)
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
Latency-guided on-chip bus network design
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
An exact gate assignment algorithm for tree circuits under rise and fall delays
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
Instruction generation for hybrid reconfigurable systems
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Addressing the timing closure problem by integrating logic optimization and placement
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Constraint satisfaction for relative location assignment and scheduling
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
A probabilistic constructive approach to optimization problems
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
False-noise analysis using logic implications
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
What is the limit of energy saving by dynamic voltage scaling?
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Evolutionary local-search with extremal optimization
Neural, Parallel & Scientific Computations
Analysis of static simulated annealing algorithms
Journal of Optimization Theory and Applications
A formal method for inheritance graph hierarchy construction
Information Sciences—Informatics and Computer Science: An International Journal - Special issue: Software engineering: Systems and tools
Packing triangles in bounded degree graphs
Information Processing Letters
Algorithms and reductions for rewriting problems. II
Information Processing Letters
Linear time and the power of one first-order universal quantifier
Information and Computation
Minimum-energy broadcasting in static ad hoc wireless networks
Wireless Networks
Computers and Operations Research
Connections between cutting-pattern sequencing, VLSI Design, and flexible machines
Computers and Operations Research
A passenger demand model for airline flight scheduling and fleet routing
Computers and Operations Research
Comparative analysis of path computation techniques for MPLS traffic engineering
Computer Networks: The International Journal of Computer and Telecommunications Networking - Special issue: Towards a new internet architecture
Bitwidth aware global register allocation
POPL '03 Proceedings of the 30th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
On the computational complexity of assumption-based argumentation for default reasoning
Artificial Intelligence
On point-duration networks for temporal reasoning
Artificial Intelligence
Solving multi-granularity temporal constraint networks
Artificial Intelligence
A Survey of Automated Timetabling
Artificial Intelligence Review
Optimization of Dynamic Hardware Reconfigurations
The Journal of Supercomputing
A note on the consecutive ones submatrix problem
Information Processing Letters
Optimal facility location with random throughput costs
Computers and Operations Research - Location analysis
Discrete Mathematics
Forbidden subgraphs implying the MIN-algorithm gives a maximum independent set
Discrete Mathematics
Bipartite graphs without a skew star
Discrete Mathematics
Run-time performance optimization of an FPGA-based deduction engine for SAT solvers
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Instruction generation for hybrid reconfigurable systems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Reconciling simplicity and realism in parallel disk modelsy
Parallel Computing - Parallel data-intensive algorithms and applications
Sewing ribbons on graphs in space
Journal of Combinatorial Theory Series B
Deep performance analysis of refined harmonic bin packing algorithm
Journal of Computer Science and Technology
Plane graphs with Eulerian Petrie walks
Discrete Mathematics - Algebraic and topological methods in graph theory
Forbidden subgraph decomposition
Discrete Mathematics
r-Bounded k-complete bipartite bihypergraphs and generalized split graphs
Discrete Mathematics
Analysis of FPGA/FPIC switch modules
ACM Transactions on Design Automation of Electronic Systems (TODAES)
A Note on the Approximation of a Minimum-Weight Maximal Independent Set
Computational Optimization and Applications
A Mixed Heuristic for Circuit Partitioning
Computational Optimization and Applications
A Hypergraph Based Approach to Declustering Problems
Distributed and Parallel Databases
A Decision Criterion for the Optimal Number of Clusters in Hierarchical Clustering
Journal of Global Optimization
Temporal Reasoning for a Collaborative Planning Agent in a Dynamic Environment
Annals of Mathematics and Artificial Intelligence
An improved FPTAS for restricted shortest path
Information Processing Letters
On the complexity of one-shot translational separability
Information Processing Letters
A polynomial algorithm for lot-size scheduling of two type tasks
Information Processing Letters
Complete Mining of Frequent Patterns from Graphs: Mining Graph Data
Machine Learning
Algorithms for maximum weight induced paths
Information Processing Letters
A New \mathcal{NP}-Complete Problem and Public-Key Identification
Designs, Codes and Cryptography
Computational Optimization and Applications
Embedding Branch and Bound within Evolutionary Algorithms
Applied Intelligence
Multiple Scan Chain Design for Two-Pattern Testing
Journal of Electronic Testing: Theory and Applications
A model and methodologies for the location problem with logistical components
Computers and Operations Research
A parallel genetic algorithm to solve the set-covering problem
Computers and Operations Research
The total completion time open shop scheduling problem with a given sequence of jobs on one machine
Computers and Operations Research
Analysis on Extended Ant Routing Algorithms for Network Routing and Management
The Journal of Supercomputing
Negotiation on Data Allocation in Multi-Agent Environments
Autonomous Agents and Multi-Agent Systems
Computation in the Context of Transport Triggered Architectures
International Journal of Parallel Programming
Why is Combinational ATPG Efficiently Solvable for Practical VLSI Circuits?
Journal of Electronic Testing: Theory and Applications
Test Wrapper and Test Access Mechanism Co-Optimization for System-on-Chip
Journal of Electronic Testing: Theory and Applications
Journal of Electronic Testing: Theory and Applications
The Role of Test Protocols in Automated Test Generation for Embedded-Core-Based System ICs
Journal of Electronic Testing: Theory and Applications
Handoffs in Cellular Wireless Networks: The Daedalus Implementation and Experience
Wireless Personal Communications: An International Journal
An Efficient Link Allocation Algorithm for ATM-Based Personal Communication Networks
Wireless Personal Communications: An International Journal
In-Cell Frequency Reuse for Broadband Indoor Wireless Systems Using Sectored Antennas
Wireless Personal Communications: An International Journal
Design of the Access Network Segment of Future Mobile Communications Systems
Wireless Personal Communications: An International Journal
Efficient Location and Paging Area Planning in Future Cellular Systems
Wireless Personal Communications: An International Journal
A Simple Heuristic for Assignment of Cells to Switches in a PCS Network
Wireless Personal Communications: An International Journal
Wireless Personal Communications: An International Journal
Off-line temporary tasks assignment
Theoretical Computer Science
Approximation algorithms for routing and call scheduling in all-optical chains and rings
Theoretical Computer Science
Faster exact solutions for some NP-hard problems
Theoretical Computer Science
Minimum independent dominating sets of random cubic graphs
Random Structures & Algorithms
Inexpensive d-dimensional matchings
Random Structures & Algorithms
Genetic engineering versus natural evolution: genetic algorithms with deterministic operators
Journal of Systems Architecture: the EUROMICRO Journal
Building a Multicasting Tree in a High-Speed Network
IEEE Concurrency
Designing Pixel-Oriented Visualization Techniques: Theory and Applications
IEEE Transactions on Visualization and Computer Graphics
Reliable Path for Virtual Endoscopy: Ensuring Complete Examination of Human Organs
IEEE Transactions on Visualization and Computer Graphics
Searching a minimal semantically-equivalent subset of a set of partial values
The VLDB Journal — The International Journal on Very Large Data Bases
An Efficient VLSI Switch-Box Router
IEEE Design & Test
Understanding Integrated Circuits
IEEE Design & Test
Compiler Design Issues for Embedded Processors
IEEE Design & Test
IEEE Micro
Scheduling in Hard Real-Time Applications
IEEE Software
A Hybrid Neural Network Model for Solving Optimization Problems
IEEE Transactions on Computers
Gossiping in a Distributed Network
IEEE Transactions on Computers
Distortion Invariant Object Recognition in the Dynamic Link Architecture
IEEE Transactions on Computers
Computational Complexity Issues in Operative Diagnostics of Graph-Based Systems
IEEE Transactions on Computers
Solution of Switching Equations Based on a Tabular Algebra
IEEE Transactions on Computers
Generalized Hopfield Neural Network for Concurrent Testing
IEEE Transactions on Computers
Bounds on the Performance of Message Routing Heuristics
IEEE Transactions on Computers
Testing of Fault-Tolerant Hardware Through Partial Control of Inputs
IEEE Transactions on Computers
A Boolean Neural Network Approach for the Traveling Salesman Problem
IEEE Transactions on Computers
Construction of Check Sets for Algorithm-Based Fault Tolerance
IEEE Transactions on Computers
Deleting Vertices to Bound Path Length
IEEE Transactions on Computers
Parametric Dispatching of Hard Real-Time Tasks
IEEE Transactions on Computers
A Fast and Robust Network Bisection Algorithm
IEEE Transactions on Computers
On the Complexity of Optimal Bused Interconnections
IEEE Transactions on Computers
Efficient Partitioning of Sequences
IEEE Transactions on Computers
Routing Strategies for Fast Networks
IEEE Transactions on Computers
Graph Partitioning Using Learning Automata
IEEE Transactions on Computers
Convergence Properties of Optimization Algorithms for the SAT Problem
IEEE Transactions on Computers
Transposition Networks as a Class of Fault-Tolerant Robust Networks
IEEE Transactions on Computers
Genetic Algorithm and Graph Partitioning
IEEE Transactions on Computers
Minimum-Congestion Hypergraph Embedding in a Cycle
IEEE Transactions on Computers
Automatic Parallelization of Compiled Event Driven VHDL Simulation
IEEE Transactions on Computers
An Optimal Scheduling Algorithm Based on Task Duplication
IEEE Transactions on Computers
Optimal Secondary Storage Access Sequence for Performing Relational Join
IEEE Transactions on Knowledge and Data Engineering
CLASP: Integrating Term Subsumption Systems and Production Systems
IEEE Transactions on Knowledge and Data Engineering
Controlling FD and MVD Inferences in Multilevel Relational Database Systems
IEEE Transactions on Knowledge and Data Engineering
Generalization by Neural Networks
IEEE Transactions on Knowledge and Data Engineering
IEEE Transactions on Knowledge and Data Engineering
Semantic Query Optimization for Tree and Chain Queries
IEEE Transactions on Knowledge and Data Engineering
A Graph Theoretical Approach to Determine a Join Reducer Sequence in Distributed Query Processing
IEEE Transactions on Knowledge and Data Engineering
Algorithms for Searching Massive Graphs
IEEE Transactions on Knowledge and Data Engineering
Global Optimization for Satisfiability (SAT) Problem
IEEE Transactions on Knowledge and Data Engineering
An Approach to Designing Very Fast Approximate String Matching Algorithms
IEEE Transactions on Knowledge and Data Engineering
Response Time Analysis of EQL Real-Time Rule-Based Systems
IEEE Transactions on Knowledge and Data Engineering
Foundations of Secure Deductive Databases
IEEE Transactions on Knowledge and Data Engineering
Databases with Deadline and Contingency Constraints
IEEE Transactions on Knowledge and Data Engineering
IEEE Transactions on Knowledge and Data Engineering
Quasi-Acyclic Propositional Horn Knowledge Bases: Optimal Compression
IEEE Transactions on Knowledge and Data Engineering
A Graph-Based Data Model and its Ramifications
IEEE Transactions on Knowledge and Data Engineering
Using Constraints for Efficient Query Processing in Nondeterministic Databases
IEEE Transactions on Knowledge and Data Engineering
Time-Constrained Query Processing in CASE-DB
IEEE Transactions on Knowledge and Data Engineering
An Exploration of Relationships Among Exclusive Disjunctive Data
IEEE Transactions on Knowledge and Data Engineering
Information Source Tracking Method: Efficiency Issues
IEEE Transactions on Knowledge and Data Engineering
Exact and Approximate Algorithms for the Index Selection Problem in Physical Database Design
IEEE Transactions on Knowledge and Data Engineering
Evaluating Aggregate Operations Over Imprecise Data
IEEE Transactions on Knowledge and Data Engineering
On the Complexity of Distributed Query Optimization
IEEE Transactions on Knowledge and Data Engineering
Description and Identification of Distributed Fragments of Recursive Relations
IEEE Transactions on Knowledge and Data Engineering
CCAM: A Connectivity-Clustered Access Method for Networks and Network Computations
IEEE Transactions on Knowledge and Data Engineering
IEEE Transactions on Knowledge and Data Engineering
Block-Oriented Compression Techniques for Large Statistical Databases
IEEE Transactions on Knowledge and Data Engineering
Multiprocessor Document Allocation: A Genetic Algorithm Approach
IEEE Transactions on Knowledge and Data Engineering
Implication and Referential Constraints: A New Formal Reasoning
IEEE Transactions on Knowledge and Data Engineering
Declustering and Load-Balancing Methods for Parallelizing Geographic Information Systems
IEEE Transactions on Knowledge and Data Engineering
Proxy Cache Algorithms: Design, Implementation, and Performance
IEEE Transactions on Knowledge and Data Engineering
Efficient Subgraph Isomorphism Detection: A Decomposition Approach
IEEE Transactions on Knowledge and Data Engineering
Scalable Algorithms for Association Mining
IEEE Transactions on Knowledge and Data Engineering
Enhancing Disjunctive Datalog by Constraints
IEEE Transactions on Knowledge and Data Engineering
Semantic Query Optimization for Query Plans of Heterogeneous Multidatabase Systems
IEEE Transactions on Knowledge and Data Engineering
Scheduling Algorithms for the Broadcast Delivery of Digital Products
IEEE Transactions on Knowledge and Data Engineering
IEEE Transactions on Knowledge and Data Engineering
Product Schema Integration for Electronic Commerce-A Synonym Comparison Approach
IEEE Transactions on Knowledge and Data Engineering
Optimizing Queries with Foreign Functions in a Distributed Environment
IEEE Transactions on Knowledge and Data Engineering
A Linear Programming Approach for the Weighted Graph Matching Problem
IEEE Transactions on Pattern Analysis and Machine Intelligence
A Shape Analysis Model with Applications to a Character Recognition System
IEEE Transactions on Pattern Analysis and Machine Intelligence
An Efficient k-Means Clustering Algorithm: Analysis and Implementation
IEEE Transactions on Pattern Analysis and Machine Intelligence
A RKHS Interpolator-Based Graph Matching Algorithm
IEEE Transactions on Pattern Analysis and Machine Intelligence
Matching Free Trees, Maximal Cliques, and Monotone Game Dynamics
IEEE Transactions on Pattern Analysis and Machine Intelligence
Mapping Nested Loop Algorithms into Multidimensional Systolic Arrays
IEEE Transactions on Parallel and Distributed Systems
Compile-Time Techniques for Improving Scalar Access Performance in Parallel Memories
IEEE Transactions on Parallel and Distributed Systems
CAREL: Computer Aided Reliability Evaluator for Distributed Computing Networks
IEEE Transactions on Parallel and Distributed Systems
Job Scheduling in a Partitionable Mesh Using a Two-Dimensional Buddy System Partitioning Scheme
IEEE Transactions on Parallel and Distributed Systems
Using the Dual Path Property of Omega Networks to Obtain Conflict-Free Message Routing
IEEE Transactions on Parallel and Distributed Systems
A Processor-Time-Minimal Systolic Array for Cubical Mesh Algorithms
IEEE Transactions on Parallel and Distributed Systems
A Processor-Time-Minimal Systolic Array for Transitive Closure
IEEE Transactions on Parallel and Distributed Systems
The Adaptive-Hash Join Algorithm for a Hypercube Multicomputer
IEEE Transactions on Parallel and Distributed Systems
Implementation of Production Systems on Message-Passing Computers
IEEE Transactions on Parallel and Distributed Systems
On Job Scheduling on a Hypercube
IEEE Transactions on Parallel and Distributed Systems
On Process Migration and Load Balancing in Time Warp
IEEE Transactions on Parallel and Distributed Systems
Resource Reclaiming in Multiprocessor Real-Time Systems
IEEE Transactions on Parallel and Distributed Systems
Multicast Communication in Multicomputer Networks
IEEE Transactions on Parallel and Distributed Systems
A Genetic Algorithm for Multiprocessor Scheduling
IEEE Transactions on Parallel and Distributed Systems
Static Processor Allocation in a Soft Real-Time Multiprocessor Environment
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Partitioning Message Patterns for Bundled Omega Networks
IEEE Transactions on Parallel and Distributed Systems
Allocating Tree Structured Programs in a Distributed System with Uniform Communication Costs
IEEE Transactions on Parallel and Distributed Systems
Scheduling DAG's for Asynchronous Multiprocessor Execution
IEEE Transactions on Parallel and Distributed Systems
Routing in Modular Fault-Tolerant Multiprocessor Systems
IEEE Transactions on Parallel and Distributed Systems
Knapsack on VLSI: from Algorithm to Optimal Circuit
IEEE Transactions on Parallel and Distributed Systems
On Load Balancing for Distributed Multiagent Computing
IEEE Transactions on Parallel and Distributed Systems
Sequential and Parallel Cellular Automata-Based Scheduling Algorithms
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Uncertainty Management in Expert Systems
IEEE Expert: Intelligent Systems and Their Applications
Pattern Recognition: Neural Networks in Perspective
IEEE Expert: Intelligent Systems and Their Applications
Scheduling High-Grade Steelmaking
IEEE Expert: Intelligent Systems and Their Applications
A Meeting Scheduler for Office Automation
IEEE Transactions on Software Engineering
On Satisfying Timing Constraints in Hard-Real-Time Systems
IEEE Transactions on Software Engineering
IEEE Transactions on Software Engineering
A Technique for Drawing Directed Graphs
IEEE Transactions on Software Engineering
Object-Oriented Software Evolution
IEEE Transactions on Software Engineering
Specification and Analysis of Real-Time Problem Solvers
IEEE Transactions on Software Engineering
Analysis of Real-Time Rule-Based Systems with Behavioral Constraint Assertions Specified in Estella
IEEE Transactions on Software Engineering
Statistical Foundations of Audit Trail Analysis for the Detection of Computer Misuse
IEEE Transactions on Software Engineering
Fixed-Priority Sensitivity Analysis for Linear Compute Time Models
IEEE Transactions on Software Engineering
Compiling Real-Time Programs With Timing Constraint Refinement and Structural Code Motion
IEEE Transactions on Software Engineering
Reusing Software: Issues and Research Directions
IEEE Transactions on Software Engineering
Semaphore Queue Priority Assignment for Real-Time Multiprocessor Synchronization
IEEE Transactions on Software Engineering
Region Analysis: A Parallel Elimination Method for Data Flow Analysis
IEEE Transactions on Software Engineering
Journal of Algorithms
Locating sources to meet flow demands in undirected networks
Journal of Algorithms
Broadcast scheduling optimization for heterogeneous cluster systems
Journal of Algorithms
On the correctness of IBGP configuration
Proceedings of the 2002 conference on Applications, technologies, architectures, and protocols for computer communications
Journal of Parallel and Distributed Computing - Problems in parallel and distributed computing: Solutions based on evolutionary paradigms
An adaptive partitioning algorithm for distributed discrete event simulation systems
Journal of Parallel and Distributed Computing - Problems in parallel and distributed computing: Solutions based on evolutionary paradigms
Learning cost-sensitive active classifiers
Artificial Intelligence
Pushing vertices in digraphs without long induced cycles
Discrete Applied Mathematics
Families of non-IRUP instances of the one-dimensional cutting stock problem
Discrete Applied Mathematics
Optimal arrangement of data in a tree directory
Discrete Applied Mathematics
Single machine scheduling with assignable due dates
Discrete Applied Mathematics
The complexity of minimizing and learning OBDDs and FBDDs
Discrete Applied Mathematics
A new graph representation for cable-membrane structures
Advances in Engineering Software
Computational properties of metaquerying problems
ACM Transactions on Computational Logic (TOCL)
Approximating most specific concepts in description logics with existential restrictions
AI Communications - Special issue on KI-2001
Optimal allocation of electronic content
Computer Networks: The International Journal of Computer and Telecommunications Networking
Computers and Operations Research
Perceptually-driven decision theory for interactive realistic rendering
ACM Transactions on Graphics (TOG)
Finding similar regions in many sequences
Journal of Computer and System Sciences - STOC 1999
The consensus string problem and the complexity of comparing hidden Markov models
Journal of Computer and System Sciences - Computational biology 2002
Knowledge discovery with second-order relations
Knowledge and Information Systems
Two-dimensional on-line bin packing problem with rotatable items
Theoretical Computer Science
Logical analysis of data with decomposable structures
Theoretical Computer Science
Parameterized complexity of finding subgraphs with hereditary properties
Theoretical Computer Science
An efficient algorithm for constructing Hamiltonian paths in meshes
Parallel Computing
Search space reduction in QoS routing
Computer Networks: The International Journal of Computer and Telecommunications Networking
Routing in sparse splitting optical networks with multicast traffic
Computer Networks: The International Journal of Computer and Telecommunications Networking
Evolutionary algorithms for the satisfiability problem
Evolutionary Computation
An introduction to oracles for asynchronous distributed systems
Future Generation Computer Systems - Parallel computing technologies (PaCT-2001)
Journal of Combinatorial Theory Series B
Computing in Science and Engineering
Edge dominating set and colorings on graphs with fixed clique-width
Discrete Applied Mathematics
Finding paths in graphs avoiding forbidden transitions
Discrete Applied Mathematics
Discrete Mathematics
Interfaces
A placement strategy of multimedia objects in multimedia information systems
Journal of Systems and Software
Training a single sigmoidal neuron is hard
Neural Computation
Error-correction and crosstalk avoidance in DSM busses
Proceedings of the 2003 international workshop on System-level interconnect prediction
Constrained "Modern" Floorplanning
Proceedings of the 2003 international symposium on Physical design
On the complexity of the maximum cut problem
Nordic Journal of Computing
On the Euclidean 3-matching problem
Nordic Journal of Computing
Efficient extraction of mapping rules of atoms from enzymatic reaction data
RECOMB '03 Proceedings of the seventh annual international conference on Research in computational molecular biology
Towards optimally multiplexed applications of universal DNA tag systems
RECOMB '03 Proceedings of the seventh annual international conference on Research in computational molecular biology
An approximation algorithm for the minimum common supertree problem
Nordic Journal of Computing
Complexity aspects of two-dimensional data compression
Nordic Journal of Computing
The complexity of approximating pspace-complete problems for hierarchical specifications
Nordic Journal of Computing
Polynomially bounded minimization problems that are hard to approximate
Nordic Journal of Computing
Integral flow with disjoint bundles
Nordic Journal of Computing
Independent domination and matchings in graphs
Discrete Mathematics
An inductive learning method for medical diagnosis
Pattern Recognition Letters
Elastic image matching is NP-complete
Pattern Recognition Letters
Generalized domination in chordal graphs
Nordic Journal of Computing
Two minimum dominating sets with minimum intersection in chordal graphs
Nordic Journal of Computing
IEEE Transactions on Parallel and Distributed Systems
A Possible World Semantics for Disjunctive Databases
IEEE Transactions on Knowledge and Data Engineering
Multiprocessor Join Scheduling
IEEE Transactions on Knowledge and Data Engineering
Ancestor Controlled Submodule Inclusion in Design Databases
IEEE Transactions on Knowledge and Data Engineering
Integrating Heuristic Knowledge and Optimization Models for Communication Network Design
IEEE Transactions on Knowledge and Data Engineering
Optimizing Index Allocation for Sequential Data Broadcasting in Wireless Mobile Computing
IEEE Transactions on Knowledge and Data Engineering
Approximation algorithms for clustering to minimize the sum of diameters
Nordic Journal of Computing
Optimal roundings of sequences and matrices
Nordic Journal of Computing
Complexity results for standard benchmark domains in planning
Artificial Intelligence
Profile minimization on triangulated triangles
Discrete Mathematics
On-line scheduling with extendable working time on a small number of machines
Information Processing Letters
On-line grid-packing with a single active grid
Information Processing Letters
Finding smooth maps is NP-complete
Information Processing Letters
BDD Based Procedures for a Theory of Equality with Uninterpreted Functions
Formal Methods in System Design
Quick and good facility location
SODA '03 Proceedings of the fourteenth annual ACM-SIAM symposium on Discrete algorithms
A combinatorial algorithm for computing a maximum independent set in a t-perfect graph
SODA '03 Proceedings of the fourteenth annual ACM-SIAM symposium on Discrete algorithms
SODA '03 Proceedings of the fourteenth annual ACM-SIAM symposium on Discrete algorithms
A 5/4-approximation algorithm for minimum 2-edge-connectivity
SODA '03 Proceedings of the fourteenth annual ACM-SIAM symposium on Discrete algorithms
Multicast in large WDM networks
Progress in computer research
Graph-theoretical methods in computer vision
Theoretical aspects of computer science
On the Boosting Pruning Problem
ECML '00 Proceedings of the 11th European Conference on Machine Learning
Evolution and Revolutions in LDAP Directory Caches
EDBT '00 Proceedings of the 7th International Conference on Extending Database Technology: Advances in Database Technology
DSOM '00 Proceedings of the 11th IFIP/IEEE International Workshop on Distributed Systems: Operations and Management: Services Management in Intelligent Networks
Real-World Applications of Evolutionary Computing, EvoWorkshops 2000: EvoIASP, EvoSCONDI, EvoTel, EvoSTIM, EvoROB, and EvoFlight
Evolutionary Game Dynamics in Combinatorial Optimization: An Overview
Proceedings of the EvoWorkshops on Applications of Evolutionary Computing
Compiling Problem Specifications into SAT
ESOP '01 Proceedings of the 10th European Symposium on Programming Languages and Systems
On the Complexity of Constant Propagation
ESOP '01 Proceedings of the 10th European Symposium on Programming Languages and Systems
An Experimental Investigation of Iterated Local Search for Coloring Graphs
Proceedings of the Applications of Evolutionary Computing on EvoWorkshops 2002: EvoCOP, EvoIASP, EvoSTIM/EvoPLAN
SavingsAnts for the Vehicle Routing Problem
Proceedings of the Applications of Evolutionary Computing on EvoWorkshops 2002: EvoCOP, EvoIASP, EvoSTIM/EvoPLAN
A New Approach to Solve Permutation Scheduling Problems with Ant Colony Optimization
Proceedings of the EvoWorkshops on Applications of Evolutionary Computing
The Link and Node Biased Encoding Revisited: Bias and Adjustment of Parameters
Proceedings of the EvoWorkshops on Applications of Evolutionary Computing
On Performance Estimates for Two Evolutionary Algorithms
Proceedings of the EvoWorkshops on Applications of Evolutionary Computing
Recursive Queries in Product Databases
FQAS '02 Proceedings of the 5th International Conference on Flexible Query Answering Systems
Duplication-Based Scheduling Algorithm for Interconnection-Constrained Distributed Memory Machines
HiPC '02 Proceedings of the 9th International Conference on High Performance Computing
HiPC '02 Proceedings of the 9th International Conference on High Performance Computing
Improved Optimal Weighted Links Algorithms
ICCS '02 Proceedings of the International Conference on Computational Science-Part III
Balanced Partition of Minimum Spanning Trees
ICCS '02 Proceedings of the International Conference on Computational Science-Part III
The Match Fit Algorithm: A Testbed for the Computational Motivation of Attention
ICCS '01 Proceedings of the International Conference on Computational Science-Part II
Optimizing Register Spills for Eager Functional Languages
ICCS '01 Proceedings of the International Conference on Computational Science-Part II
Implementing Scalable Parallel Search Algorithms for Data-Intensive Applications
ICCS '02 Proceedings of the International Conference on Computational Science-Part I
Consistent Identification in the Limit of Rigid Grammars from Strings Is NP-hard
ICGI '02 Proceedings of the 6th International Colloquium on Grammatical Inference: Algorithms and Applications
Optimizing Large Join Queries in Mediation Systems
ICDT '99 Proceedings of the 7th International Conference on Database Theory
ICDT '99 Proceedings of the 7th International Conference on Database Theory
The Data Warehouse of Newsgroups
ICDT '99 Proceedings of the 7th International Conference on Database Theory
Constraint-based clustering in large databases
ICDT '01 Proceedings of the 8th International Conference on Database Theory
Mining for Empty Rectangles in Large Data Sets
ICDT '01 Proceedings of the 8th International Conference on Database Theory
ICPP '97 Proceedings of the international Conference on Parallel Processing
Towards Aggregated Answers for Semistructured Data
ICDT '01 Proceedings of the 8th International Conference on Database Theory
Typechecking Top-Down Uniform Unranked Tree Transducers
ICDT '03 Proceedings of the 9th International Conference on Database Theory
ICDT '03 Proceedings of the 9th International Conference on Database Theory
Local Search for DAG Scheduling and Task Assignment
ICPP '97 Proceedings of the international Conference on Parallel Processing
Automatic Synthesis, Placement, and Routing of an Amplifier Circuit by Means of Genetic Programming
ICES '00 Proceedings of the Third International Conference on Evolvable Systems: From Biology to Hardware
New Classes of Lower Bounds for Bin Packing Problems
Proceedings of the 6th International IPCO Conference on Integer Programming and Combinatorial Optimization
Non-approximability Results for Scheduling Problems with Minsum Criteria
Proceedings of the 6th International IPCO Conference on Integer Programming and Combinatorial Optimization
Performance Guarantees of Local Search for Multiprocessor Scheduling
Proceedings of the 8th International IPCO Conference on Integer Programming and Combinatorial Optimization
Proceedings of the 8th International IPCO Conference on Integer Programming and Combinatorial Optimization
On Availability QoS for Replicated Multimedia Service and Content
IDMS/PROMS 2002 Proceedings of the Joint International Workshops on Interactive Distributed Multimedia Systems and Protocols for Multimedia Systems: Protocols and Systems for Interactive Distributed Multimedia
A Fast QoS Adaptation Algorithm for MPEG-4 Multimedia Applications
IDMS/PROMS 2002 Proceedings of the Joint International Workshops on Interactive Distributed Multimedia Systems and Protocols for Multimedia Systems: Protocols and Systems for Interactive Distributed Multimedia
Registration of Cortical Anatomical Structures via Robust 3D Point Matching
IPMI '99 Proceedings of the 16th International Conference on Information Processing in Medical Imaging
Fault Tolerant Distributed Coloring Algorithms that Stabilize in Linear Time
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Study of Scalable Declustering Algorithms for Parallel Grid Files
IPPS '96 Proceedings of the 10th International Parallel Processing Symposium
Parallel Out-of-Core Algorithm for Genome-Scale Enumeration of Metabolic Systemic Pathways
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
A New Approach to Fault-Tolerant Wormhole Routing for Mesh-Connected Parallel Computers
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
A Reliability-Aware Value-Based Scheduler for Dynamic Multiprocessor Real-Time Systems
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Parallel 'Go with the Winners' Algorithms in the LogP Model
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
The Power of SIMDs in Real-Time Scheduling
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Efficient Pipelining of Nested Loops: Unroll-and-Squash
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Population Learning Algorithm Versus Evolutionary Computation
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Scheduling Parallel Applications Using Malleable Tasks on Clusters
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
CPR: Mixed Task and Data Parallel Scheduling for Distributed Systems
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
A Runtime System for Dynamic DAG Programming
IPDPS '00 Proceedings of the 15 IPDPS 2000 Workshops on Parallel and Distributed Processing
On the Complexity of Counting the Hilbert Basis of a Linear Diophnatine System
LPAR '99 Proceedings of the 6th International Conference on Logic Programming and Automated Reasoning
LPAR '01 Proceedings of the Artificial Intelligence on Logic for Programming
A Parallel Transitive Closure Computation Algorithm for VLSI Test Generation
PARA '02 Proceedings of the 6th International Conference on Applied Parallel Computing Advanced Scientific Computing
Quiescent Uniform Reliable Broadcast as an Introduction to Failure Detector Oracles
PaCT '01 Proceedings of the 6th International Conference on Parallel Computing Technologies
The Complexity of Minimizing FBDDs
MFCS '99 Proceedings of the 24th International Symposium on Mathematical Foundations of Computer Science
On Minimum Edge Ranking Spanning Trees
MFCS '99 Proceedings of the 24th International Symposium on Mathematical Foundations of Computer Science
NP-SPEC: An Executable Specification Language for Solving All Problems in NP
PADL '99 Proceedings of the First International Workshop on Practical Aspects of Declarative Languages
On the Complexity of Recognizing the Hilbert Basis of a Linear Diophantine System
MFCS '99 Proceedings of the 24th International Symposium on Mathematical Foundations of Computer Science
Computational Politics: Electoral Systems
MFCS '00 Proceedings of the 25th International Symposium on Mathematical Foundations of Computer Science
On a Generalization of Bi-Complement Reducible Graphs
MFCS '00 Proceedings of the 25th International Symposium on Mathematical Foundations of Computer Science
Edge-Bisection of Chordal Rings
MFCS '00 Proceedings of the 25th International Symposium on Mathematical Foundations of Computer Science
Playing Games with Algorithms: Algorithmic Combinatorial Game Theory
MFCS '01 Proceedings of the 26th International Symposium on Mathematical Foundations of Computer Science
The k-Median Problem for Directed Trees
MFCS '01 Proceedings of the 26th International Symposium on Mathematical Foundations of Computer Science
On Pseudorandom Generators in NC
MFCS '01 Proceedings of the 26th International Symposium on Mathematical Foundations of Computer Science
Hypertree Decompositions: A Survey
MFCS '01 Proceedings of the 26th International Symposium on Mathematical Foundations of Computer Science
On Maximizing the Throughput of Multiprocessor Tasks
MFCS '02 Proceedings of the 27th International Symposium on Mathematical Foundations of Computer Science
Improved Parameterized Algorithms for Planar Dominating Set
MFCS '02 Proceedings of the 27th International Symposium on Mathematical Foundations of Computer Science
Subgraph Isomorphism, log-Bounded Fragmentation and Graphs of (Locally) Bounded Treewidth
MFCS '02 Proceedings of the 27th International Symposium on Mathematical Foundations of Computer Science
On Radiocoloring Hierarchically Specified Planar Graphs: PSPACE-Completeness and Approximations
MFCS '02 Proceedings of the 27th International Symposium on Mathematical Foundations of Computer Science
Prospects for Simulated Annealing Algorithms in Automatic Differentiation
SAGA '01 Proceedings of the International Symposium on Stochastic Algorithms: Foundations and Applications
Parallel Skeletons for Tabu Search Method Based on Search Strategies and Neighborhood Partition
PPAM '01 Proceedings of the th International Conference on Parallel Processing and Applied Mathematics-Revised Papers
Abstractions for Knowledge Organization of Relational Descriptions
SARA '02 Proceedings of the 4th International Symposium on Abstraction, Reformulation, and Approximation
On the Reformulation of Vehicle Routing Problems and Scheduling Problems
Proceedings of the 5th International Symposium on Abstraction, Reformulation and Approximation
Optimizing through Co-evolutionary Avalanches
PPSN VI Proceedings of the 6th International Conference on Parallel Problem Solving from Nature
A Hybrid GA for the Edge-Biconnectivity Augmentation Problem
PPSN VI Proceedings of the 6th International Conference on Parallel Problem Solving from Nature
Adaptive Fitness Functions for the Satisfiability Problem
PPSN VI Proceedings of the 6th International Conference on Parallel Problem Solving from Nature
From Syntactical to Semantical Mutation Operators for Structure Optimization
PPSN VII Proceedings of the 7th International Conference on Parallel Problem Solving from Nature
Logics for Approximate Reasoning: Approximating Classical Logic "From Above"
SBIA '02 Proceedings of the 16th Brazilian Symposium on Artificial Intelligence: Advances in Artificial Intelligence
Crew Pairing Optimization with Genetic Algorithms
SETN '02 Proceedings of the Second Hellenic Conference on AI: Methods and Applications of Artificial Intelligence
Immune-Like System Approach to Cellular Automata-Based Scheduling
PPAM '01 Proceedings of the th International Conference on Parallel Processing and Applied Mathematics-Revised Papers
A Comparison of Algorithms for Maximum Common Subgraph on Randomly Connected Graphs
Proceedings of the Joint IAPR International Workshop on Structural, Syntactic, and Statistical Pattern Recognition
Filtering with Approximate Predicates
VLDB '98 Proceedings of the 24rd International Conference on Very Large Data Bases
Resource Scheduling for Composite Multimedia Objects
VLDB '98 Proceedings of the 24rd International Conference on Very Large Data Bases
Clustering Categorical Data: An Approach Based on Dynamical Systems
VLDB '98 Proceedings of the 24rd International Conference on Very Large Data Bases
Successive Projection Graph Matching
Proceedings of the Joint IAPR International Workshop on Structural, Syntactic, and Statistical Pattern Recognition
Query Processing on Personal Computers: A Pragmatic Approach (Extended Abstract)
VLDB '84 Proceedings of the 10th International Conference on Very Large Data Bases
RainForest - A Framework for Fast Decision Tree Construction of Large Datasets
VLDB '98 Proceedings of the 24rd International Conference on Very Large Data Bases
On the Properties of Extended Inclusion Dependencies
VLDB '86 Proceedings of the 12th International Conference on Very Large Data Bases
Adaptive Predicate Managers in Database Systems
VLDB '86 Proceedings of the 12th International Conference on Very Large Data Bases
VLDB '87 Proceedings of the 13th International Conference on Very Large Data Bases
Anatomy of a Mudular Multiple Query Optimizer
VLDB '88 Proceedings of the 14th International Conference on Very Large Data Bases
Offering a Precision-Performance Tradeoff for Aggregation Queries over Replicated Data
VLDB '00 Proceedings of the 26th International Conference on Very Large Data Bases
Triggered Real-Time Databases with Consistency Constraints
VLDB '90 Proceedings of the 16th International Conference on Very Large Data Bases
Hybrid-Range Partitioning Strategy: A New Declustering Strategy for Multiprocessor Database Machines
VLDB '90 Proceedings of the 16th International Conference on Very Large Data Bases
The Enhanced Double Digest Problem for DNA Physical Mapping
SWAT '00 Proceedings of the 7th Scandinavian Workshop on Algorithm Theory
On the Complexities of the Optimal Rounding Problems of Sequences and Matrices
SWAT '00 Proceedings of the 7th Scandinavian Workshop on Algorithm Theory
Querying in Highly Mobile Distributed Environments
VLDB '92 Proceedings of the 18th International Conference on Very Large Data Bases
Max- and Min-Neighborhood Monopolies
SWAT '00 Proceedings of the 7th Scandinavian Workshop on Algorithm Theory
Locating Sources to Meet Flow Demands in Undirected Networks
SWAT '00 Proceedings of the 7th Scandinavian Workshop on Algorithm Theory
Approximation Algorithms for Clustering to Minimize the Sum of Diameters
SWAT '00 Proceedings of the 7th Scandinavian Workshop on Algorithm Theory
Fixed Parameter Algorithms for PLANAR DOMINATING SET and Related Problems
SWAT '00 Proceedings of the 7th Scandinavian Workshop on Algorithm Theory
Approximation Algorithms for Edge-Dilation k-Center Problems
SWAT '02 Proceedings of the 8th Scandinavian Workshop on Algorithm Theory
On Network Design Problems: Fixed Cost Flows and the Covering Steiner Problem
SWAT '02 Proceedings of the 8th Scandinavian Workshop on Algorithm Theory
Algorithms for the Multi-constrained Routing Problem
SWAT '02 Proceedings of the 8th Scandinavian Workshop on Algorithm Theory
SWAT '02 Proceedings of the 8th Scandinavian Workshop on Algorithm Theory
Linear Time Approximation Schemes for Vehicle Scheduling
SWAT '02 Proceedings of the 8th Scandinavian Workshop on Algorithm Theory
VLDB '94 Proceedings of the 20th International Conference on Very Large Data Bases
Some Issues in Design of Distributed Deductive Databases
VLDB '94 Proceedings of the 20th International Conference on Very Large Data Bases
Restricting SBH Ambiguity via Restriction Enzymes
WABI '02 Proceedings of the Second International Workshop on Algorithms in Bioinformatics
A Tight Bound for ß-SKeleton of Minimum Weight Triangulations
WADS '99 Proceedings of the 6th International Workshop on Algorithms and Data Structures
The Lazy Bureaucrat Scheduling Problem
WADS '99 Proceedings of the 6th International Workshop on Algorithms and Data Structures
Efficient Web Searching Using Temporal Factors
WADS '99 Proceedings of the 6th International Workshop on Algorithms and Data Structures
On the Complexity of Orthogonal Compaction
WADS '99 Proceedings of the 6th International Workshop on Algorithms and Data Structures
Bin Packing with Item Fragmentation
WADS '01 Proceedings of the 7th International Workshop on Algorithms and Data Structures
WADS '01 Proceedings of the 7th International Workshop on Algorithms and Data Structures
Constructing Efficient Decision Trees by Using Optimized Numeric Association Rules
VLDB '96 Proceedings of the 22th International Conference on Very Large Data Bases
On the Computation of Multidimensional Aggregates
VLDB '96 Proceedings of the 22th International Conference on Very Large Data Bases
File Allocation in Distributed Databases with Interaction between Files
VLDB '83 Proceedings of the 9th International Conference on Very Large Data Bases
Database Partitioning in a Cluster of Processors
VLDB '83 Proceedings of the 9th International Conference on Very Large Data Bases
The Alma Project, or How First-Order Logic Can Help Us in Imperative Programming
Correct System Design, Recent Insight and Advances, (to Hans Langmaack on the occasion of his retirement from his professorship at the University of Kiel)
Structural Complexity and Neural Networks
WIRN VIETRI 2002 Proceedings of the 13th Italian Workshop on Neural Nets-Revised Papers
An Immunological Approach to Combinatorial Optimization Problems
IBERAMIA 2002 Proceedings of the 8th Ibero-American Conference on AI: Advances in Artificial Intelligence
A Quasi-Metric for Machine Learning
IBERAMIA 2002 Proceedings of the 8th Ibero-American Conference on AI: Advances in Artificial Intelligence
Reordering the Reorderable Matrix as an Algorithmic Problem
Diagrams '00 Proceedings of the First International Conference on Theory and Application of Diagrams
Spatial Reasoning with Topological Information
Spatial Cognition, An Interdisciplinary Approach to Representing and Processing Spatial Knowledge
An Aqueous Algorithm for Finding the Bijections Contained in a Binary Relation
Formal and Natural Computing - Essays Dedicated to Grzegorz Rozenberg [on occasion of his 60th birthday, March 14, 2002]
Search and Optimization Problems in Datalog
Computational Logic: Logic Programming and Beyond, Essays in Honour of Robert A. Kowalski, Part II
Finding Worst-Case Instances of, and Lower Bounds for, Online Algorithms Using Genetic Algorithms
AI '02 Proceedings of the 15th Australian Joint Conference on Artificial Intelligence: Advances in Artificial Intelligence
A Parallel Approximation Algorithm for the Max Cut Problem on Cubic Graphs
ASIAN '99 Proceedings of the 5th Asian Computing Science Conference on Advances in Computing Science
On Binary/Ternary Error-Correcting Codes with Minimum Distance 4
AAECC-13 Proceedings of the 13th International Symposium on Applied Algebra, Algebraic Algorithms and Error-Correcting Codes
Analysis of an Election Problem for CSCW in Asynchronous Distributed Systems
EDCIS '02 Proceedings of the First International Conference on Engineering and Deployment of Cooperative Information Systems
A Linear-Order Based Access Method for Efficient Network Computations
EDCIS '02 Proceedings of the First International Conference on Engineering and Deployment of Cooperative Information Systems
Adaptive Outsourcing in Cross-Organizational Workflows
CAiSE '99 Proceedings of the 11th International Conference on Advanced Information Systems Engineering
The Security of Hidden Field Equations (HFE)
CT-RSA 2001 Proceedings of the 2001 Conference on Topics in Cryptology: The Cryptographer's Track at RSA
Formalizing Regions in the Spatial Semantic Hierarchy: An AH-Graphs Implementation Approach
COSIT '99 Proceedings of the International Conference on Spatial Information Theory: Cognitive and Computational Foundations of Geographic Information Science
Double-Crossing: Decidability and Computational Complexity of a Qualitative Calculus for Navigation
COSIT 2001 Proceedings of the International Conference on Spatial Information Theory: Foundations of Geographic Information Science
Meta-heuristics: The State of the Art
ECAI '00 Proceedings of the Workshop on Local Search for Planning and Scheduling-Revised Papers
Non-Interactive Zero-Knowledge: A Low-Randomness Characterization of NP
ICAL '99 Proceedings of the 26th International Colloquium on Automata, Languages and Programming
A Variant of the Arrow Distributed Directory with Low Average Complexity
ICAL '99 Proceedings of the 26th International Colloquium on Automata, Languages and Programming
Stable Marriage with Incomplete Lists and Ties
ICAL '99 Proceedings of the 26th International Colloquium on Automata, Languages and Programming
Capturing LOGSPACE over Hereditarily-Finite Sets
FoIKS '00 Proceedings of the First International Symposium on Foundations of Information and Knowledge Systems
Efficient Graph Coloring by Evolutionary Algorithms
Proceedings of the 6th International Conference on Computational Intelligence, Theory and Applications: Fuzzy Days
Fast Approximation Schemes for Euclidean Multi-connectivity Problems
ICALP '00 Proceedings of the 27th International Colloquium on Automata, Languages and Programming
Constraint Satisfaction Problems and Finite Algebras
ICALP '00 Proceedings of the 27th International Colloquium on Automata, Languages and Programming
Subexponential Parameterized Algorithms Collapse the W-Hierarchy
ICALP '01 Proceedings of the 28th International Colloquium on Automata, Languages and Programming,
Case-Based Reasoning in Course Timetabling: An Attribute Graph Approach
ICCBR '01 Proceedings of the 4th International Conference on Case-Based Reasoning: Case-Based Reasoning Research and Development
On Minimizing Average Weighted Completion Time of Multiprocessor Tasks with Release Dates
ICALP '01 Proceedings of the 28th International Colloquium on Automata, Languages and Programming,
Finding a Path of Superlogarithmic Length
ICALP '02 Proceedings of the 29th International Colloquium on Automata, Languages and Programming
Optimal Net Surface Problems with Applications
ICALP '02 Proceedings of the 29th International Colloquium on Automata, Languages and Programming
ICALP '02 Proceedings of the 29th International Colloquium on Automata, Languages and Programming
The Nondeterministic Constraint Logic Model of Computation: Reductions and Applications
ICALP '02 Proceedings of the 29th International Colloquium on Automata, Languages and Programming
Towards a Predictive Computational Complexity Theory
ICALP '02 Proceedings of the 29th International Colloquium on Automata, Languages and Programming
Generating Partial and Multiple Transversals of a Hypergraph
ICALP '00 Proceedings of the 27th International Colloquium on Automata, Languages and Programming
Approximation Algorithms for Some Optimum Communication Spanning Tree Problems
ISAAC '98 Proceedings of the 9th International Symposium on Algorithms and Computation
Optimality and Integer Programming Formulations of Triangulations in General Dimension
ISAAC '98 Proceedings of the 9th International Symposium on Algorithms and Computation
A Capacitated Vehicle Routing Problem on a Tree
ISAAC '98 Proceedings of the 9th International Symposium on Algorithms and Computation
Generalized Graph Colorability and Compressibility of Boolean Formulae
ISAAC '98 Proceedings of the 9th International Symposium on Algorithms and Computation
The Edge-Disjoint Paths Problem is NP-Complete for Partial k-Trees
ISAAC '98 Proceedings of the 9th International Symposium on Algorithms and Computation
An Optimal Algorithm for On-Line Palletizing at Delivery Industry
ISAAC '98 Proceedings of the 9th International Symposium on Algorithms and Computation
Reverse Center Location Problem
ISAAC '99 Proceedings of the 10th International Symposium on Algorithms and Computation
Efficient Approximation Algorithms for Multi-label Map Labeling
ISAAC '99 Proceedings of the 10th International Symposium on Algorithms and Computation
P Systems with Gemmation of Mobile Membranes
ICTCS '01 Proceedings of the 7th Italian Conference on Theoretical Computer Science
Relating Partial and Complete Solutions and the Complexity of Computing Smallest Solutions
ICTCS '01 Proceedings of the 7th Italian Conference on Theoretical Computer Science
Hypergraph Transversal Computation and Related Problems in Logic and AI
JELIA '02 Proceedings of the European Conference on Logics in Artificial Intelligence
Complexity and Algorithms for the Matching of Bag and Set Terms
JELIA '02 Proceedings of the European Conference on Logics in Artificial Intelligence
Fixed-Parameter Complexity in AI and Nonmonotonic Reasoning
LPNMR '99 Proceedings of the 5th International Conference on Logic Programming and Nonmonotonic Reasoning
On the Expressibility of Stable Logic Programming
LPNMR '01 Proceedings of the 6th International Conference on Logic Programming and Nonmonotonic Reasoning
On the Complexity of Model Checking and Inference in Minimal Models
LPNMR '01 Proceedings of the 6th International Conference on Logic Programming and Nonmonotonic Reasoning
Analyzing Boltzmann Machine Parameters for Fast Convergence
IWANN '01 Proceedings of the 6th International Work-Conference on Artificial and Natural Neural Networks: Bio-inspired Applications of Connectionism-Part II
Hardness of Approximating Independent Domination in Circle Graphs
ISAAC '99 Proceedings of the 10th International Symposium on Algorithms and Computation
Survivable Networks with Bounded Delay: The Edge Failure Case
ISAAC '99 Proceedings of the 10th International Symposium on Algorithms and Computation
Constant-Factor Approximation Algorithms for Domination Problems on Circle Graphs
ISAAC '99 Proceedings of the 10th International Symposium on Algorithms and Computation
Algorithms for Finding Noncrossing Steiner Forests in Plane Graphs
ISAAC '99 Proceedings of the 10th International Symposium on Algorithms and Computation
Simple Approximation Algorithms for MAXNAESP and Hypergraph 2-colorability
ISAAC '99 Proceedings of the 10th International Symposium on Algorithms and Computation
Location Problems Based on Node-Connectivity and Edge-Connectivity between Nodes and Node-Subsets
ISAAC '00 Proceedings of the 11th International Conference on Algorithms and Computation
Efficient Minus and Signed Domination in Graphs
ISAAC '00 Proceedings of the 11th International Conference on Algorithms and Computation
On the Design and Evaluation of Job Scheduling Algorithms
IPPS/SPDP '99/JSSPP '99 Proceedings of the Job Scheduling Strategies for Parallel Processing
On Approximating Minimum Vertex Cover for Graphs with Perfect Matching
ISAAC '00 Proceedings of the 11th International Conference on Algorithms and Computation
An Approximate Algorithm for the Weighted Hamiltonian Path Completion Problem on a Tree
ISAAC '00 Proceedings of the 11th International Conference on Algorithms and Computation
A Simple Linear-Time Approximation Algorithm for Multi-processor Job Scheduling on Four Processors
ISAAC '00 Proceedings of the 11th International Conference on Algorithms and Computation
Strategies for Hotlink Assignments
ISAAC '00 Proceedings of the 11th International Conference on Algorithms and Computation
Constructive Linear Time Algorithms for Small Cutwidth and Carving-Width
ISAAC '00 Proceedings of the 11th International Conference on Algorithms and Computation
On Efficient Fixed Parameter Algorithms for WEIGHTED VERTEX COVER
ISAAC '00 Proceedings of the 11th International Conference on Algorithms and Computation
Image Segmentation with Monotonicity and Smoothness Constraints
ISAAC '01 Proceedings of the 12th International Symposium on Algorithms and Computation
The Complexity of Some Basic Problems for Dynamic Process Graphs
ISAAC '01 Proceedings of the 12th International Symposium on Algorithms and Computation
The Performance Impact of Advance Reservation Meta-scheduling
IPDPS '00/JSSPP '00 Proceedings of the Workshop on Job Scheduling Strategies for Parallel Processing
ISAAC '01 Proceedings of the 12th International Symposium on Algorithms and Computation
Semi-normal Schedulings: Improvement on Goemans' Algorithm
ISAAC '01 Proceedings of the 12th International Symposium on Algorithms and Computation
Efficient Algorithms for k-Terminal Cuts on Planar Graphs
ISAAC '01 Proceedings of the 12th International Symposium on Algorithms and Computation
ISAAC '01 Proceedings of the 12th International Symposium on Algorithms and Computation
Parameterized Complexity: The Main Ideas and Some Research Frontiers
ISAAC '01 Proceedings of the 12th International Symposium on Algorithms and Computation
On the Minimum Local-Vertex-Connectivity Augmentation in Graphs
ISAAC '01 Proceedings of the 12th International Symposium on Algorithms and Computation
Complexity Study on Two Clustering Problems
ISAAC '01 Proceedings of the 12th International Symposium on Algorithms and Computation
ISAAC '01 Proceedings of the 12th International Symposium on Algorithms and Computation
On the Approximability of Multiprocessor Task Scheduling Problems
ISAAC '02 Proceedings of the 13th International Symposium on Algorithms and Computation
Partitioning Trees of Supply and Demand
ISAAC '02 Proceedings of the 13th International Symposium on Algorithms and Computation
Project Scheduling with Irregular Costs: Complexity, Approximability, and Algorithms
ISAAC '02 Proceedings of the 13th International Symposium on Algorithms and Computation
Crossing Minimization for Symmetries
ISAAC '02 Proceedings of the 13th International Symposium on Algorithms and Computation
An O(pn + 1.151p)-Algorithm for p-Profit Cover and Its Practical Implications for Vertex Cover
ISAAC '02 Proceedings of the 13th International Symposium on Algorithms and Computation
Improved Exact Algorithms for MAX-SAT
LATIN '02 Proceedings of the 5th Latin American Symposium on Theoretical Informatics
Design and Implementation of an Efficient Thread Partitioning Algorithm
ISHPC '00 Proceedings of the Third International Symposium on High Performance Computing
Pipeline Transportation of Petroleum Products with No Due Dates
LATIN '02 Proceedings of the 5th Latin American Symposium on Theoretical Informatics
Solving NP-Complete Problems With Networks of Evolutionary Processors
IWANN '01 Proceedings of the 6th International Work-Conference on Artificial and Natural Neural Networks: Connectionist Models of Neurons, Learning Processes and Artificial Intelligence-Part I
Neural Networks for Image Restoration from the Magnitude of Its Fourier Transform
IWANN '01 Proceedings of the 6th International Work-Conference on Artificial and Natural Neural Networks: Bio-inspired Applications of Connectionism-Part II
Salsa: Combining Constraint Solvers with BDDs for Automatic Invariant Checking
TACAS '00 Proceedings of the 6th International Conference on Tools and Algorithms for Construction and Analysis of Systems: Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS 2000
On Finding Optimal Discretizations for Two Attributes
RSCTC '98 Proceedings of the First International Conference on Rough Sets and Current Trends in Computing
Decomposition of Boolean Relations and Functions in Logic Synthesis and Data Analysis
RSCTC '00 Revised Papers from the Second International Conference on Rough Sets and Current Trends in Computing
Some Complexity Aspects of Secondary School Timetabling Problems
PATAT '00 Selected papers from the Third International Conference on Practice and Theory of Automated Timetabling III
Tabu Search Techniques for Examination Timetabling
PATAT '00 Selected papers from the Third International Conference on Practice and Theory of Automated Timetabling III
Polynomial Time Matching Algorithms for Tree-Like Structured Patterns in Knowledge Discovery
PADKK '00 Proceedings of the 4th Pacific-Asia Conference on Knowledge Discovery and Data Mining, Current Issues and New Applications
QoS Routing: Average Complexity and Hopcount in m Dimensions
COST 263 Proceedings of the Second International Workshop on Quality of Future Internet Services
Profile-Based Routing: A New Framework for MPLS Traffic Engineering
COST 263 Proceedings of the Second International Workshop on Quality of Future Internet Services
Multicast Routing by Multiple Tree Routes
QoS-IP '01 Proceedings of the International Workshop on Quality of Service in Multiservice IP Networks
Network Data Mining and Analysis: The NEMESIS Project
PAKDD '02 Proceedings of the 6th Pacific-Asia Conference on Advances in Knowledge Discovery and Data Mining
Verification of Vortex Workflows
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Preemptive Job-Shop Scheduling Using Stopwatch Automata
TACAS '02 Proceedings of the 8th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
A Polynomial-Time Universal Security Amplifier in the Class of Block Ciphers
SAC '00 Proceedings of the 7th Annual International Workshop on Selected Areas in Cryptography
Luby-Rackoff Ciphers: Why XOR Is Not So Exclusive
SAC '02 Revised Papers from the 9th Annual International Workshop on Selected Areas in Cryptography
SSDBM '96 Proceedings of the Eighth International Conference on Scientific and Statistical Database Management
Pruning Graphs with Digital Search Trees. Application to Distance Hereditary Graphs
STACS '00 Proceedings of the 17th Annual Symposium on Theoretical Aspects of Computer Science
STACS '00 Proceedings of the 17th Annual Symposium on Theoretical Aspects of Computer Science
Generalized Model-Checking Problems for First-Order Logic
STACS '01 Proceedings of the 18th Annual Symposium on Theoretical Aspects of Computer Science
The Complexity of Minimal Satisfiability Problems
STACS '01 Proceedings of the 18th Annual Symposium on Theoretical Aspects of Computer Science
Residual Finite State Automata
STACS '01 Proceedings of the 18th Annual Symposium on Theoretical Aspects of Computer Science
STACS '01 Proceedings of the 18th Annual Symposium on Theoretical Aspects of Computer Science
Using Recursive Decomposition to Construct Elimination Orders, Jointrees, and Dtrees
ECSQARU '01 Proceedings of the 6th European Conference on Symbolic and Quantitative Approaches to Reasoning with Uncertainty
The Complexity of Constraints on Intervals and Lengths
STACS '02 Proceedings of the 19th Annual Symposium on Theoretical Aspects of Computer Science
A Quantum Goldreich-Levin Theorem with Cryptographic Applications
STACS '02 Proceedings of the 19th Annual Symposium on Theoretical Aspects of Computer Science
On the Complexity of Protein Similarity Search under mRNA Structure Constraints
STACS '02 Proceedings of the 19th Annual Symposium on Theoretical Aspects of Computer Science
Complexity of Multi-dimensional Loop Alignment
STACS '02 Proceedings of the 19th Annual Symposium on Theoretical Aspects of Computer Science
Generalized Model-Checking over Locally Tree-Decomposable Classes
STACS '02 Proceedings of the 19th Annual Symposium on Theoretical Aspects of Computer Science
On the Complexity of Generating Maximal Frequent and Minimal Infrequent Sets
STACS '02 Proceedings of the 19th Annual Symposium on Theoretical Aspects of Computer Science
Performance Ratios for the Differencing Method Applied to the Balanced Number Partitioning Problem
STACS '03 Proceedings of the 20th Annual Symposium on Theoretical Aspects of Computer Science
Wildcard Dimensions in Augmented Star and Bubble-Sort Networks
MASCOTS '95 Proceedings of the 3rd International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems
G-Networks and Minimum Cost Functions
MASCOTS '95 Proceedings of the 3rd International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems
Different Local Search Algorithms in STAGE for Solving Bin Packing Problem
EurAsia-ICT '02 Proceedings of the First EurAsian Conference on Information and Communication Technology
The Weakest Failure Detector for Solving Election Problems in Asynchronous Distributed Systems
EurAsia-ICT '02 Proceedings of the First EurAsian Conference on Information and Communication Technology
A New Distance Measure for Non-rigid Image Matching
EMMCVPR '99 Proceedings of the Second International Workshop on Energy Minimization Methods in Computer Vision and Pattern Recognition
Bayesian A* Tree Search with Expected O(N) Convergence Rates for Road Tracking
EMMCVPR '99 Proceedings of the Second International Workshop on Energy Minimization Methods in Computer Vision and Pattern Recognition
Matching Free Trees, Maximal Cliques, and Monotone Game Dynamics
EMMCVPR '01 Proceedings of the Third International Workshop on Energy Minimization Methods in Computer Vision and Pattern Recognition
Scheduling Trees with Large Communication Delays on Two Identical Processors
Euro-Par '00 Proceedings from the 6th International Euro-Par Conference on Parallel Processing
Temporary Arrays for Distribution of Loops with Control Dependences
Euro-Par '00 Proceedings from the 6th International Euro-Par Conference on Parallel Processing
On Multicasting with Minimum Costs for the Internet Topology
Euro-Par '02 Proceedings of the 8th International Euro-Par Conference on Parallel Processing
Euro-Par '02 Proceedings of the 8th International Euro-Par Conference on Parallel Processing
A Semi-dynamic Multiprocessor Scheduling Algorithm with an Asymptotically Optimal Competitive Ratio
Euro-Par '02 Proceedings of the 8th International Euro-Par Conference on Parallel Processing
A Polynomial-Time Branching Procedure for the Multiprocessor Scheduling Problem
Euro-Par '99 Proceedings of the 5th International Euro-Par Conference on Parallel Processing
Executing Divisible Jobs on a Network with a Fixed Number of Processors
COCOON '98 Proceedings of the 4th Annual International Conference on Computing and Combinatorics
Approximation and Exact Algorithms for Constructing Minimum Ultrametric Trees from Distance Matrices
COCOON '98 Proceedings of the 4th Annual International Conference on Computing and Combinatorics
An Optimal Algorithm for Finding the Minimum Cardinality Dominating Set on Permutation Graphs
COCOON '98 Proceedings of the 4th Annual International Conference on Computing and Combinatorics
Logical Analysis of Data with Decomposable Structures
COCOON '00 Proceedings of the 6th Annual International Conference on Computing and Combinatorics
The Complexity of Physical Mapping with Strict Chimerism
COCOON '00 Proceedings of the 6th Annual International Conference on Computing and Combinatorics
A Characterization of Graphs with Vertex Cover Six
COCOON '00 Proceedings of the 6th Annual International Conference on Computing and Combinatorics
On the Decidability of Cryptographic Protocols with Open-Ended Data Structures
CONCUR '02 Proceedings of the 13th International Conference on Concurrency Theory
Using Combinatorial Optimization Methods for Quantification Scheduling
CHARME '01 Proceedings of the 11th IFIP WG 10.5 Advanced Research Working Conference on Correct Hardware Design and Verification Methods
On Computation of Arbitrage for Markets with Friction
COCOON '00 Proceedings of the 6th Annual International Conference on Computing and Combinatorics
Parameterized Complexity of Finding Subgraphs with Hereditary Properties
COCOON '00 Proceedings of the 6th Annual International Conference on Computing and Combinatorics
A New Measure of Edit Distance between Labeled Trees
COCOON '01 Proceedings of the 7th Annual International Conference on Computing and Combinatorics
Membership for Core of LP Games and Other Games
COCOON '01 Proceedings of the 7th Annual International Conference on Computing and Combinatorics
On Universally Polynomial Context-Free Languages
COCOON '01 Proceedings of the 7th Annual International Conference on Computing and Combinatorics
An FPTAS for Weight-Constrained Steiner Trees in Series-Parallel Graphs
COCOON '01 Proceedings of the 7th Annual International Conference on Computing and Combinatorics
On Assigning Prefix Free Codes to the Vertices of a Graph
COCOON '01 Proceedings of the 7th Annual International Conference on Computing and Combinatorics
Polynomial Time Algorithms for Three-Label Point Labeling
COCOON '01 Proceedings of the 7th Annual International Conference on Computing and Combinatorics
Counting H-Colorings of Partial k-Trees
COCOON '01 Proceedings of the 7th Annual International Conference on Computing and Combinatorics
Design and Implementation of the Fiduccia-Mattheyses Heuristic for VLSI Netlist Partitioning
ALENEX '99 Selected papers from the International Workshop on Algorithm Engineering and Experimentation
CNOP - A Package for Constrained Network Optimization
ALENEX '01 Revised Papers from the Third International Workshop on Algorithm Engineering and Experimentation
Hierarchical Clustering of Trees: Algorithms and Experiments
ALENEX '01 Revised Papers from the Third International Workshop on Algorithm Engineering and Experimentation
Layered Drawings of Graphs with Crossing Constraints
COCOON '01 Proceedings of the 7th Annual International Conference on Computing and Combinatorics
Minimum Back-Walk-Free Latency Problem
COCOON '02 Proceedings of the 8th Annual International Conference on Computing and Combinatorics
Approximating 3D Points with Cylindrical Segments
COCOON '02 Proceedings of the 8th Annual International Conference on Computing and Combinatorics
The Full Steiner Tree Problem in Phylogeny
COCOON '02 Proceedings of the 8th Annual International Conference on Computing and Combinatorics
Theory of Equal-Flows in Networks
COCOON '02 Proceedings of the 8th Annual International Conference on Computing and Combinatorics
Repetition Complexity of Words
COCOON '02 Proceedings of the 8th Annual International Conference on Computing and Combinatorics
Coloring Algorithms on Subcubic Graphs
COCOON '02 Proceedings of the 8th Annual International Conference on Computing and Combinatorics
Relations in GUHA Style Data Mining
ReIMICS '01 Revised Papers from the 6th International Conference and 1st Workshop of COST Action 274 TARSKI on Relational Methods in Computer Science
Inferring a Union of Halfspaces from Examples
COCOON '02 Proceedings of the 8th Annual International Conference on Computing and Combinatorics
On-Line Grid-Packing with a Single Active Grid
COCOON '02 Proceedings of the 8th Annual International Conference on Computing and Combinatorics
Maximum Flows and Critical Vertices in AND/OR Graphs
COCOON '02 Proceedings of the 8th Annual International Conference on Computing and Combinatorics
Insertion Based Ants for Vehicle Routing Problems with Backhauls and Time Windows
ANTS '02 Proceedings of the Third International Workshop on Ant Algorithms
On the inapproximability of broadcasting time
APPROX '00 Proceedings of the Third International Workshop on Approximation Algorithms for Combinatorial Optimization
On the hardness of approximating N P witnesses
APPROX '00 Proceedings of the Third International Workshop on Approximation Algorithms for Combinatorial Optimization
On Constrained Hypergraph Coloring and Scheduling
APPROX '02 Proceedings of the 5th International Workshop on Approximation Algorithms for Combinatorial Optimization
Complexity of Makespan Minimization for Pipeline Transportation of Petroleum Products
APPROX '02 Proceedings of the 5th International Workshop on Approximation Algorithms for Combinatorial Optimization
Minimum Restricted Diameter Spanning Trees
APPROX '02 Proceedings of the 5th International Workshop on Approximation Algorithms for Combinatorial Optimization
Facility Location and the Geometric Minimum-Diameter Spanning Tree
APPROX '02 Proceedings of the 5th International Workshop on Approximation Algorithms for Combinatorial Optimization
Two Approximation Algorithms for 3-Cycle Covers
APPROX '02 Proceedings of the 5th International Workshop on Approximation Algorithms for Combinatorial Optimization
A 27/26-Approximation Algorithm for the Chromatic Sum Coloring of Bipartite Graphs
APPROX '02 Proceedings of the 5th International Workshop on Approximation Algorithms for Combinatorial Optimization
QEM: A Scheduling Method for Wireless Broadcast Data
DASFAA '99 Proceedings of the Sixth International Conference on Database Systems for Advanced Applications
The Filter-Combiner Model for Memoryless Synchronous Stream Ciphers
CRYPTO '02 Proceedings of the 22nd Annual International Cryptology Conference on Advances in Cryptology
Cryptosystems Based on an Analog of Heat Flow
CRYPTO '87 A Conference on the Theory and Applications of Cryptographic Techniques on Advances in Cryptology
Non-Interactive Zero-Knowledge Proof Systems
CRYPTO '87 A Conference on the Theory and Applications of Cryptographic Techniques on Advances in Cryptology
Direct Minimum-Knowledge Computations
CRYPTO '87 A Conference on the Theory and Applications of Cryptographic Techniques on Advances in Cryptology
On Model Checking Durational Kripke Structures
FoSSaCS '02 Proceedings of the 5th International Conference on Foundations of Software Science and Computation Structures
Crptograpic Applications of the Non-Interactive Metaproof and Many-Prover Systems
CRYPTO '90 Proceedings of the 10th Annual International Cryptology Conference on Advances in Cryptology
On the Information Rate of Secret Sharing Schemes (Extended Abstract)
CRYPTO '92 Proceedings of the 12th Annual International Cryptology Conference on Advances in Cryptology
Patterns in Words versus Patterns in Trees: A Brief Survey and New Results
PSI '99 Proceedings of the Third International Andrei Ershov Memorial Conference on Perspectives of System Informatics
A Perspective on Lindström Quantifiers and Oracles
ESSLLI '97 Revised Lectures from the 9th European Summer School on Logic, Language, and Information: Generalized Quantifiers and Computation
Generalized Quantifiers in Logic Programs
ESSLLI '97 Revised Lectures from the 9th European Summer School on Logic, Language, and Information: Generalized Quantifiers and Computation
Combining Relational Algebra, SQL, and Constraint Programming
FroCoS '02 Proceedings of the 4th International Workshop on Frontiers of Combining Systems
A Mixed Closure-CSP Method to Solve Scheduling Problems
Proceedings of the 14th International conference on Industrial and engineering applications of artificial intelligence and expert systems: engineering of intelligent systems
Hierarchical Approach for Engineering Skills Acquisition
Proceedings of the 14th International conference on Industrial and engineering applications of artificial intelligence and expert systems: engineering of intelligent systems
IEA/AIE '02 Proceedings of the 15th international conference on Industrial and engineering applications of artificial intelligence and expert systems: developments in applied artificial intelligence
Approximation Algorithms for Routing and Call Scheduling in All-Optical Chains and Rings
Proceedings of the 19th Conference on Foundations of Software Technology and Theoretical Computer Science
Theta2p-Completeness: A Classical Approach for New Results
FST TCS 2000 Proceedings of the 20th Conference on Foundations of Software Technology and Theoretical Computer Science
FST TCS 2000 Proceedings of the 20th Conference on Foundations of Software Technology and Theoretical Computer Science
Dynamic Spectrum Allocation: The Impotency of Duration Notification
FST TCS 2000 Proceedings of the 20th Conference on Foundations of Software Technology and Theoretical Computer Science
Approximation Algorithms for Bandwidth and Storage Allocation Problems under Real Time Constraints
FST TCS 2000 Proceedings of the 20th Conference on Foundations of Software Technology and Theoretical Computer Science
Text Sparsification via Local Maxima
FST TCS 2000 Proceedings of the 20th Conference on Foundations of Software Technology and Theoretical Computer Science
Grouping Techniques for One Machine Scheduling Subject to Precedence Constraints
FST TCS '01 Proceedings of the 21st Conference on Foundations of Software Technology and Theoretical Computer Science
Using Nondeterminism to Design Deterministic Algorithms
FST TCS '01 Proceedings of the 21st Conference on Foundations of Software Technology and Theoretical Computer Science
FST TCS '02 Proceedings of the 22nd Conference Kanpur on Foundations of Software Technology and Theoretical Computer Science
State Space Reductions for Alternating Büchi Automata
FST TCS '02 Proceedings of the 22nd Conference Kanpur on Foundations of Software Technology and Theoretical Computer Science
A Derandomization Using Min-Wise Independent Permutations
RANDOM '98 Proceedings of the Second International Workshop on Randomization and Approximation Techniques in Computer Science
RANDOM '98 Proceedings of the Second International Workshop on Randomization and Approximation Techniques in Computer Science
Using Approximation Hardness to Achieve Dependable Computation
RANDOM '98 Proceedings of the Second International Workshop on Randomization and Approximation Techniques in Computer Science
APPROX '01/RANDOM '01 Proceedings of the 4th International Workshop on Approximation Algorithms for Combinatorial Optimization Problems and 5th International Workshop on Randomization and Approximation Techniques in Computer Science: Approximation, Randomization and Combinatorial Optimization
Optimization Problems Categories
Computer Aided Systems Theory - EUROCAST 2001-Revised Papers
Optimizing Organizational Structuce: A Hausdorff Benchmark for Complex Social Systems
Computer Aided Systems Theory - EUROCAST 2001-Revised Papers
SOFSEM '98 Proceedings of the 25th Conference on Current Trends in Theory and Practice of Informatics: Theory and Practice of Informatics
Exact Learning when Irrelevant Variables Abound
EuroCOLT '99 Proceedings of the 4th European Conference on Computational Learning Theory
Some Prospects for Efficient Fixed Parameter Algorithms
SOFSEM '98 Proceedings of the 25th Conference on Current Trends in Theory and Practice of Informatics: Theory and Practice of Informatics
Stability of Approximation Algorithms for Hard Optimization Problems
SOFSEM '99 Proceedings of the 26th Conference on Current Trends in Theory and Practice of Informatics on Theory and Practice of Informatics
Biomolecular Computing and Programming (Extended Abstract)
SOFSEM '99 Proceedings of the 26th Conference on Current Trends in Theory and Practice of Informatics on Theory and Practice of Informatics
Algorithms on Compressed Strings and Arrays
SOFSEM '99 Proceedings of the 26th Conference on Current Trends in Theory and Practice of Informatics on Theory and Practice of Informatics
On the Approximability of Interactive Knapsack Problems
SOFSEM '01 Proceedings of the 28th Conference on Current Trends in Theory and Practice of Informatics Piestany: Theory and Practice of Informatics
An Algorithm for Multicast with Multiple QoS Constraints and Dynamic Membership
NETWORKING '00 Proceedings of the IFIP-TC6 / European Commission International Conference on Broadband Communications, High Performance Networking, and Performance of Communication Networks
On Finding Feasible Solutions to the Group Multicast Routing Problem
NETWORKING '00 Proceedings of the IFIP-TC6 / European Commission International Conference on Broadband Communications, High Performance Networking, and Performance of Communication Networks
Toward Optimal Player Weights in Secure Distributed Protocols
INDOCRYPT '01 Proceedings of the Second International Conference on Cryptology in India: Progress in Cryptology
Test Sets for the Universal and Existential Closure of Regular Tree Languages
RtA '99 Proceedings of the 10th International Conference on Rewriting Techniques and Applications
Decidability and Closure Properties of Equational Tree Languages
RTA '02 Proceedings of the 13th International Conference on Rewriting Techniques and Applications
On the Differences between ``Practical'' and ``Applied''
WAE '00 Proceedings of the 4th International Workshop on Algorithm Engineering
WAE '01 Proceedings of the 5th International Workshop on Algorithm Engineering
Similarity Clustering of Dimensions for an Enhanced Visualization of Multidimensional Data
INFOVIS '98 Proceedings of the 1998 IEEE Symposium on Information Visualization
Using Acceptors as Transducers
WIA '98 Revised Papers from the Third International Workshop on Automata Implementation
CIAA '00 Revised Papers from the 5th International Conference on Implementation and Application of Automata
Artificial Life Applications of a Class of P Systems: Abstract Rewriting Systems on Multisets
WMP '00 Proceedings of the Workshop on Multiset Processing: Multiset Processing, Mathematical, Computer Science, and Molecular Computing Points of View
On the Complexity of Integer Programming in the Blum-Shub-Smale Computational Model
TCS '00 Proceedings of the International Conference IFIP on Theoretical Computer Science, Exploring New Frontiers of Theoretical Informatics
Fully Consistent Extensions of Partially Defined Boolean Functions with Missing Bits
TCS '00 Proceedings of the International Conference IFIP on Theoretical Computer Science, Exploring New Frontiers of Theoretical Informatics
Scheduling Fault-Tolerant Programs on Multiple Processors to Maximize Schedule Reliability
SAFECOMP '99 Proceedings of the 18th International Conference on Computer Computer Safety, Reliability and Security
CP '98 Proceedings of the 4th International Conference on Principles and Practice of Constraint Programming
Global Constraints for Partial CSPs: A Case-Study of Resource and Due Date Constraints
CP '98 Proceedings of the 4th International Conference on Principles and Practice of Constraint Programming
CP '02 Proceedings of the 6th International Conference on Principles and Practice of Constraint Programming
New Tractable Classes from Old
CP '02 Proceedings of the 6th International Conference on Principles and Practice of Constraint Programming
CP '01 Proceedings of the 7th International Conference on Principles and Practice of Constraint Programming
Graph-Theoretical Methods in Computer Vision
Theoretical Aspects of Computer Science, Advanced Lectures [First Summer School on Theoretical Aspects of Computer Science, Tehran, Iran, July 2000]
Phase Transitions and Backbones of 3-SAT and Maximum 3-SAT
CP '01 Proceedings of the 7th International Conference on Principles and Practice of Constraint Programming
Pruning for the Minimum Constraint Family and for the Number of Distinct Values Constraint Family
CP '01 Proceedings of the 7th International Conference on Principles and Practice of Constraint Programming
Constraint Satisfaction, Bounded Treewidth, and Finite-Variable Logics
CP '02 Proceedings of the 8th International Conference on Principles and Practice of Constraint Programming
Performance Analysis of Asynchronous Circuits Using Markov Chains
Concurrency and Hardware Design, Advances in Petri Nets
Constant Propagation on the Value Graph: Simple Constants and Beyond
CC '00 Proceedings of the 9th International Conference on Compiler Construction
Optimal Live Range Merge for Address Register Allocation in Embedded Programs
CC '01 Proceedings of the 10th International Conference on Compiler Construction
Extending Functional Dependencies in Indefinite Sequence Relations
ER '99 Proceedings of the 18th International Conference on Conceptual Modeling
An Incremental and Semi-automatic Method Inheritance Graph Hierarchy Construction
ER '99 Proceedings of the 18th International Conference on Conceptual Modeling
The Effects of Partial Restarts in Evolutionary Search
Selected Papers from the 5th European Conference on Artificial Evolution
Optimal Play against Best Defence: Complexity and Heuristics
CG '98 Proceedings of the First International Conference on Computers and Games
Logically Optimal Curriculum Sequences for Adaptive Hypermedia Systems
AH '00 Proceedings of the International Conference on Adaptive Hypermedia and Adaptive Web-Based Systems
Simple Amazons Endgames and Their Connection to Hamilton Circuits in Cubic Subgrid Graphs
CG '00 Revised Papers from the Second International Conference on Computers and Games
The Complexity of Graph Ramsey Games
CG '00 Revised Papers from the Second International Conference on Computers and Games
Applications of Annotated Predicate Calculus to Querying Inconsistent Databases
CL '00 Proceedings of the First International Conference on Computational Logic
Towards Making Broadcast Encryption Practical
FC '99 Proceedings of the Third International Conference on Financial Cryptography
A Layout Adjustment Problem for Disjoint Rectangles Preserving Orthogonal Order
GD '98 Proceedings of the 6th International Symposium on Graph Drawing
NP-Completeness of Some Tree-Clustering Problems
GD '98 Proceedings of the 6th International Symposium on Graph Drawing
GD '99 Proceedings of the 7th International Symposium on Graph Drawing
On Maximum Symmetric Subgraphs
GD '00 Proceedings of the 8th International Symposium on Graph Drawing
Computing and Drawing Isomorphic Subgraphs
GD '02 Revised Papers from the 10th International Symposium on Graph Drawing
GD '02 Revised Papers from the 10th International Symposium on Graph Drawing
Improvements in Coverability Analysis
FME '02 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods - Getting IT Right
ICGT '02 Proceedings of the First International Conference on Graph Transformation
Graph Transformations for the Vehicle Routing and Job Shop Scheduling Problems
ICGT '02 Proceedings of the First International Conference on Graph Transformation
Computational Forensic Techniques for Intellectual Property Protection
IHW '01 Proceedings of the 4th International Workshop on Information Hiding
Intellectual Property Metering
IHW '01 Proceedings of the 4th International Workshop on Information Hiding
Compilation Schemes: A Theoretical Tool for Assessing the Expressive Power of Planning Formalisms
KI '99 Proceedings of the 23rd Annual German Conference on Artificial Intelligence: Advances in Artificial Intelligence
Propositional Satisfiability in Answer-Set Programming
KI '01 Proceedings of the Joint German/Austrian Conference on AI: Advances in Artificial Intelligence
KI '01 Proceedings of the Joint German/Austrian Conference on AI: Advances in Artificial Intelligence
Approximating Most Specific Concepts in Description Logics with Existential Restrictions
KI '01 Proceedings of the Joint German/Austrian Conference on AI: Advances in Artificial Intelligence
Fast Winner-Takes-All Networks for the Maximum Clique Problem
KI '02 Proceedings of the 25th Annual German Conference on AI: Advances in Artificial Intelligence
Complexity Classification of Some Edge Modification Problems
WG '99 Proceedings of the 25th International Workshop on Graph-Theoretic Concepts in Computer Science
Vertex Partitioning of Crown-Free Interval Graphs
WG '99 Proceedings of the 25th International Workshop on Graph-Theoretic Concepts in Computer Science
Induced Matchings in Regular Graphs and Trees
WG '99 Proceedings of the 25th International Workshop on Graph-Theoretic Concepts in Computer Science
On Minimum Diameter Spanning Trees under Reload Costs
WG '99 Proceedings of the 25th International Workshop on Graph-Theoretic Concepts in Computer Science
On the Hardness of Recognizing Bundles in Time Table Graphs
WG '99 Proceedings of the 25th International Workshop on Graph-Theoretic Concepts in Computer Science
On Claw-Free Asteroidal Triple-Free Graphs
WG '99 Proceedings of the 25th International Workshop on Graph-Theoretic Concepts in Computer Science
Tree Spanners for Subgraphs and Related Tree Covering Problems
WG '00 Proceedings of the 26th International Workshop on Graph-Theoretic Concepts in Computer Science
Budget Constrained Minimum Cost Connected Medians
WG '00 Proceedings of the 26th International Workshop on Graph-Theoretic Concepts in Computer Science
Optimizing Cost Flows by Modifying Arc Costs and Capacities
WG '00 Proceedings of the 26th International Workshop on Graph-Theoretic Concepts in Computer Science
De Bruijn Graphs and DNA Graphs
WG '01 Proceedings of the 27th International Workshop on Graph-Theoretic Concepts in Computer Science
The Complexity of the Matching-Cut Problem
WG '01 Proceedings of the 27th International Workshop on Graph-Theoretic Concepts in Computer Science
(k, +)-Distance-Hereditary Graphs
WG '01 Proceedings of the 27th International Workshop on Graph-Theoretic Concepts in Computer Science
Graph Subcolorings: Complexity and Algorithms
WG '01 Proceedings of the 27th International Workshop on Graph-Theoretic Concepts in Computer Science
A Generic Greedy Algorithm, Partially-Ordered Graphs and NP-Completeness
WG '01 Proceedings of the 27th International Workshop on Graph-Theoretic Concepts in Computer Science
WG '01 Proceedings of the 27th International Workshop on Graph-Theoretic Concepts in Computer Science
On Subfamilies of AT-Free Graphs
WG '01 Proceedings of the 27th International Workshop on Graph-Theoretic Concepts in Computer Science
WG '02 Revised Papers from the 28th International Workshop on Graph-Theoretic Concepts in Computer Science
WG '02 Revised Papers from the 28th International Workshop on Graph-Theoretic Concepts in Computer Science
Complexity of Pattern Coloring of Cycle Systems
WG '02 Revised Papers from the 28th International Workshop on Graph-Theoretic Concepts in Computer Science
Cluster Graph Modification Problems
WG '02 Revised Papers from the 28th International Workshop on Graph-Theoretic Concepts in Computer Science
The Complexity of Restrictive H-Coloring
WG '02 Revised Papers from the 28th International Workshop on Graph-Theoretic Concepts in Computer Science
Budgeted Maximum Graph Coverage
WG '02 Revised Papers from the 28th International Workshop on Graph-Theoretic Concepts in Computer Science
Completely Independent Spanning Trees in Maximal Planar Graphs
WG '02 Revised Papers from the 28th International Workshop on Graph-Theoretic Concepts in Computer Science
Branch, Cut, and Price: Sequential and Parallel
Computational Combinatorial Optimization, Optimal or Provably Near-Optimal Solutions [based on a Spring School]
Scalability Issues in Inductive Logic Programming
ALT '98 Proceedings of the 9th International Conference on Algorithmic Learning Theory
A Note on Support Vector Machine Degeneracy
ALT '99 Proceedings of the 10th International Conference on Algorithmic Learning Theory
ALT '00 Proceedings of the 11th International Conference on Algorithmic Learning Theory
On the Hardness of Learning Acyclic Conjunctive Queries
ALT '00 Proceedings of the 11th International Conference on Algorithmic Learning Theory
On Approximate Learning by Multi-layered Feedforward Circuits
ALT '00 Proceedings of the 11th International Conference on Algorithmic Learning Theory
Minimizing the Quadratic Training Error of a Sigmoid Neuron Is Hard
ALT '01 Proceedings of the 12th International Conference on Algorithmic Learning Theory
Improving Static Compression Schemes by Alphabet Extension
COM '00 Proceedings of the 11th Annual Symposium on Combinatorial Pattern Matching
Structural Properties and Tractability Results for Linear Synteny
COM '00 Proceedings of the 11th Annual Symposium on Combinatorial Pattern Matching
Edit Distance with Move Operations
CPM '02 Proceedings of the 13th Annual Symposium on Combinatorial Pattern Matching
Local Similarity Based Point-Pattern Matching
CPM '02 Proceedings of the 13th Annual Symposium on Combinatorial Pattern Matching
Pattern Matching Problems over 2-Interval Sets
CPM '02 Proceedings of the 13th Annual Symposium on Combinatorial Pattern Matching
The Problem of Context Sensitive String Matching
CPM '02 Proceedings of the 13th Annual Symposium on Combinatorial Pattern Matching
Challenges and Applications for Self-Assembled DNA Nanostructures
DNA '00 Revised Papers from the 6th International Workshop on DNA-Based Computers: DNA Computing
Aqueous Solutions of Algorithmic Problems: Emphasizing Knights on a 3 x 3
DNA 7 Revised Papers from the 7th International Workshop on DNA-Based Computers: DNA Computing
Peptide Computing - Universality and Complexity
DNA 7 Revised Papers from the 7th International Workshop on DNA-Based Computers: DNA Computing
Temperature Gradient-Based DNA Computing for Graph Problems with Weighted Edges
DNA8 Revised Papers from the 8th International Workshop on DNA Based Computers: DNA Computing
A Software Tool for Generating Non-crosshybridizing Libraries of DNA Oligonucleotides
DNA8 Revised Papers from the 8th International Workshop on DNA Based Computers: DNA Computing
Constraint-Based Analysis of Broadcast Protocols
CSL '99 Proceedings of the 13th International Workshop and 8th Annual Conference of the EACSL on Computer Science Logic
A Perturbation and Reduction Based Algorithm
TOOLS '98 Proceedings of the 10th International Conference on Computer Performance Evaluation: Modelling Techniques and Tools
On Classification and Regression
DS '98 Proceedings of the First International Conference on Discovery Science
DS '99 Proceedings of the Second International Conference on Discovery Science
A New Floorplanning Method for FPGA Architectural Research
FPL '00 Proceedings of the The Roadmap to Reconfigurable Computing, 10th International Workshop on Field-Programmable Logic and Applications
Bubble Partitioning for LUT-Based Sequential Circuits
FPL '01 Proceedings of the 11th International Conference on Field-Programmable Logic and Applications
Analogs and Duals of teh MAST Problem for Sequences and Trees
ESA '98 Proceedings of the 6th Annual European Symposium on Algorithms
Off-Line Temporary Tasks Assignment
ESA '99 Proceedings of the 7th Annual European Symposium on Algorithms
Faster Exact Solutions for Some NP-Hard Problems
ESA '99 Proceedings of the 7th Annual European Symposium on Algorithms
Approximation Algorithms for the Traveling Purchaser Problem and its Variants in Network Design
ESA '99 Proceedings of the 7th Annual European Symposium on Algorithms
ESA '99 Proceedings of the 7th Annual European Symposium on Algorithms
Hybrid Routing for FPGAs by Integrating Boolean Satisfiability with Geometric Search
FPL '02 Proceedings of the Reconfigurable Computing Is Going Mainstream, 12th International Conference on Field-Programmable Logic and Applications
Approximation Schemes for Scheduling on Uniformly Related and Identical Parallel Machines
ESA '99 Proceedings of the 7th Annual European Symposium on Algorithms
Efficient Algorithms for Integer Programs with Two Variables per Constraint
ESA '99 Proceedings of the 7th Annual European Symposium on Algorithms
Preemptive Scheduling with Rejection
ESA '00 Proceedings of the 8th Annual European Symposium on Algorithms
ESA '00 Proceedings of the 8th Annual European Symposium on Algorithms
SNPs Problems, Complexity, and Algorithms
ESA '01 Proceedings of the 9th Annual European Symposium on Algorithms
Greedy Algorithms for Minimisation Problems in Random Regular Graphs
ESA '01 Proceedings of the 9th Annual European Symposium on Algorithms
Grouping Techniques for Scheduling Problems: Simpler and Faster
ESA '01 Proceedings of the 9th Annual European Symposium on Algorithms
On the Approximability of the Minimum Test Collection Problem
ESA '01 Proceedings of the 9th Annual European Symposium on Algorithms
Computing Cycle Covers without Short Cycles
ESA '01 Proceedings of the 9th Annual European Symposium on Algorithms
ESA '01 Proceedings of the 9th Annual European Symposium on Algorithms
Minimizing Makespan and Preemption Costs on a System of Uniform Machines
ESA '02 Proceedings of the 10th Annual European Symposium on Algorithms
Wide-Sense Nonblocking WDM Cross-Connects
ESA '02 Proceedings of the 10th Annual European Symposium on Algorithms
Online Scheduling for Sorting Buffers
ESA '02 Proceedings of the 10th Annual European Symposium on Algorithms
Approximation Algorithm for the Maximum Leaf Spanning Tree Problem for Cubic Graphs
ESA '02 Proceedings of the 10th Annual European Symposium on Algorithms
Dichotomy Theorem for the Generalized Unique Satisfiability Problem
FCT '99 Proceedings of the 12th International Symposium on Fundamentals of Computation Theory
Differential Approximation Results for the Traveling Salesman Problem with Distances 1 and 2
FCT '01 Proceedings of the 13th International Symposium on Fundamentals of Computation Theory
The Complexity of Maximum Matroid-Greedoid Intersection
FCT '01 Proceedings of the 13th International Symposium on Fundamentals of Computation Theory
On the Complexity of Finite Sorted Algebras
Selected Papers from Automated Deduction in Classical and Non-Classical Logics
Implicational Completeness of Signed Resolution
Selected Papers from Automated Deduction in Classical and Non-Classical Logics
New Results for Path Problems in Generalized Stars, Complete Graphs, and Brick Wall Graphs
FCT '01 Proceedings of the 13th International Symposium on Fundamentals of Computation Theory
Errors Detection and Correction in Large Scale Data Collecting
IDA '01 Proceedings of the 4th International Conference on Advances in Intelligent Data Analysis
Fingerprints for Copyright Software Protection
ISW '99 Proceedings of the Second International Workshop on Information Security
The Equivalence Problem for Computational Models: Decidable and Undecidable Cases
MCU '01 Proceedings of the Third International Conference on Machines, Computations, and Universality
A new approach to scan chain reordering using physical design information
ITC '98 Proceedings of the 1998 IEEE International Test Conference
Scan chain design for test time reduction in core-based ICs
ITC '98 Proceedings of the 1998 IEEE International Test Conference
An algorithmic approach to optimizing fault coverage for BIST logic synthesis
ITC '98 Proceedings of the 1998 IEEE International Test Conference
Using PVM for Distributed Logic Minimization in a Network of Computers
Proceedings of the 6th European PVM/MPI Users' Group Meeting on Recent Advances in Parallel Virtual Machine and Message Passing Interface
Secure Server-Aided Signature Generation
PKC '01 Proceedings of the 4th International Workshop on Practice and Theory in Public Key Cryptography: Public Key Cryptography
Solving Underdefined Systems of Multivariate Quadratic Equations
PKC '02 Proceedings of the 5th International Workshop on Practice and Theory in Public Key Cryptosystems: Public Key Cryptography
A Genetic-Based Approach for Satisfiability Problems
AISC '02/Calculemus '02 Proceedings of the Joint International Conferences on Artificial Intelligence, Automated Reasoning, and Symbolic Computation
On Identifying Simple and Quantified Lattice Points in the 2SAT Polytope
AISC '02/Calculemus '02 Proceedings of the Joint International Conferences on Artificial Intelligence, Automated Reasoning, and Symbolic Computation
Critical Parallelization of Local Search for MAX-SAT
AI*IA 01 Proceedings of the 7th Congress of the Italian Association for Artificial Intelligence on Advances in Artificial Intelligence
ATTac-2001: A Learning, Autonomous Bidding Agent
AAMAS '02 Revised Papers from the Workshop on Agent Mediated Electronic Commerce on Agent-Mediated Electronic Commerce IV, Designing Mechanisms and Systems
Filter Similarities in Content-Based Publish/Subscribe Systems
ARCS '02 Proceedings of the International Conference on Architecture of Computing Systems: Trends in Network and Pervasive Computing
Computing a Diameter-Constrained Minimum Spanning Tree in Parallel
CIAC '00 Proceedings of the 4th Italian Conference on Algorithms and Complexity
CIAC '00 Proceedings of the 4th Italian Conference on Algorithms and Complexity
CIAC '00 Proceedings of the 4th Italian Conference on Algorithms and Complexity
Reconstruction of Discrete Sets from Three or More X-Rays
CIAC '00 Proceedings of the 4th Italian Conference on Algorithms and Complexity
Faster Exact Solutions for MAX2SAT
CIAC '00 Proceedings of the 4th Italian Conference on Algorithms and Complexity
The Reconstruction of a Bicolored Domino Tiling from Two Projections
DGCI '02 Proceedings of the 10th International Conference on Discrete Geometry for Computer Imagery
DBPL '01 Revised Papers from the 8th International Workshop on Database Programming Languages
Tracking a Small Set of Experts by Mixing Past Posteriors
COLT '01/EuroCOLT '01 Proceedings of the 14th Annual Conference on Computational Learning Theory and and 5th European Conference on Computational Learning Theory
On Tractable Queries and Constraints
DEXA '99 Proceedings of the 10th International Conference on Database and Expert Systems Applications
Using a Similarity Measurement to Partition a Vocabulary of Medical Concepts
DEXA '99 Proceedings of the 10th International Conference on Database and Expert Systems Applications
Bounds for the Minimum Disagreement Problem with Applications to Learning Theory
COLT '02 Proceedings of the 15th Annual Conference on Computational Learning Theory
What's Hard about XML Schema Constraints?
DEXA '02 Proceedings of the 13th International Conference on Database and Expert Systems Applications
Considering Non-real-time Traffic in Real-Time Packet Scheduling
IDMS/PROMS 2002 Proceedings of the Joint International Workshops on Interactive Distributed Multimedia Systems and Protocols for Multimedia Systems: Protocols and Systems for Interactive Distributed Multimedia
Exponential Speedup of Fixed-Parameter Algorithms on K3, 3-Minor-Free or K5-Minor-Free Graphs
ISAAC '02 Proceedings of the 13th International Symposium on Algorithms and Computation
On Minimizing Average Weighted Completion Time: A PTAS for Scheduling General Multiprocessor Tasks
FCT '01 Proceedings of the 13th International Symposium on Fundamentals of Computation Theory
Scheduling, Re-scheduling and Communication in the Multi-agent Extended Enterprise Environment
EPIA '01 Proceedings of the10th Portuguese Conference on Artificial Intelligence on Progress in Artificial Intelligence, Knowledge Extraction, Multi-agent Systems, Logic Programming and Constraint Solving
Pattern Structures and Their Projections
ICCS '01 Proceedings of the 9th International Conference on Conceptual Structures: Broadening the Base
A Formal Model for Maximum Concurrency in Transaction Systems with Predeclared Writesets
VLDB '82 Proceedings of the 8th International Conference on Very Large Data Bases
WATCHMAN: A Data Warehouse Intelligent Cache Manager
VLDB '96 Proceedings of the 22th International Conference on Very Large Data Bases
Resource Scheduling in Enhanced Pay-Per-View Continuous Media Databases
VLDB '97 Proceedings of the 23rd International Conference on Very Large Data Bases
Test Set Size Minimization and Fault Detection Effectiveness: A Case Study in a Space Application
COMPSAC '97 Proceedings of the 21st International Computer Software and Applications Conference
An Efficient Neural Network Algorithm for the p-Median Problem
IBERAMIA 2002 Proceedings of the 8th Ibero-American Conference on AI: Advances in Artificial Intelligence
Two-Dimensional On-Line Bin Packing Problem with Rotatable Items
COCOON '00 Proceedings of the 6th Annual International Conference on Computing and Combinatorics
New Algorithms for Examination Timetabling
WAE '00 Proceedings of the 4th International Workshop on Algorithm Engineering
The Point Algebra for Branching Time Revisited
KI '01 Proceedings of the Joint German/Austrian Conference on AI: Advances in Artificial Intelligence
Linear Orderings of Random Geometric Graphs
WG '99 Proceedings of the 25th International Workshop on Graph-Theoretic Concepts in Computer Science
Cycles in Generalized Networks
WG '02 Revised Papers from the 28th International Workshop on Graph-Theoretic Concepts in Computer Science
A Multi-scale Algorithm for the Linear Arrangement Problem
WG '02 Revised Papers from the 28th International Workshop on Graph-Theoretic Concepts in Computer Science
Solving Knapsack Problems in a Sticker Based Model
DNA 7 Revised Papers from the 7th International Workshop on DNA-Based Computers: DNA Computing
Techniques for Estimation of Design Diversity for Combinational Logic Circuits
DSN '01 Proceedings of the 2001 International Conference on Dependable Systems and Networks (formerly: FTCS)
Branch-and-Bound Algorithms for the Test Cover Problem
ESA '02 Proceedings of the 10th Annual European Symposium on Algorithms
Optimistic and Disjunctive Agent Design Problems
ATAL '00 Proceedings of the 7th International Workshop on Intelligent Agents VII. Agent Theories Architectures and Languages
Parallel machine earliness and tardiness scheduling with proportional weights
Computers and Operations Research
Variations on extending partially defined boolean functions with missing bits
Information and Computation
A mass formula for unimodular lattices with no roots
Mathematics of Computation
An efficient deterministic parallel algorithm for two processors precedence constraint scheduling
Theoretical Computer Science - Algorithms,automata, complexity and games
Towards area requirements for drawing hierarchically planar graphs
Theoretical Computer Science - Algorithms,automata, complexity and games
Polynomial time algorithms for three-label point labeling
Theoretical Computer Science - Computing and combinatorics
Reachability problems for sequential dynamical systems with threshold functions
Theoretical Computer Science - Mathematical foundations of computer science
Theoretical Computer Science - Mathematical foundations of computer science
Service-constrained network design problems
Nordic Journal of Computing
Coloring 2-colorable hypergraphs with a sublinear number of colors
Nordic Journal of Computing
Clustering categorical data: an approach based on dynamical systems
The VLDB Journal — The International Journal on Very Large Data Bases
UnQL: a query language and algebra for semistructured data based on structural recursion
The VLDB Journal — The International Journal on Very Large Data Bases
Optimal clip ordering for multi-clip queries
The VLDB Journal — The International Journal on Very Large Data Bases
A combinatorial study of partial order polytopes
European Journal of Combinatorics
Preprocessing of intractable problems
Information and Computation
Computing small partial coverings
Information Processing Letters
A new algorithm for the maximum-weight clique problem
Nordic Journal of Computing
Analysis on a Mobile Agent-Based Algorithm for Network Routing and Management
IEEE Transactions on Parallel and Distributed Systems
An aqueous algorithm for finding the bijections contained in a binary relation
Formal and natural computing
A fast algorithm for the maximum clique problem
Discrete Applied Mathematics - Sixth Twente Workshop on Graphs and Combinatorial Optimization
Length-bounded disjoint paths in planar graphs
Discrete Applied Mathematics - Sixth Twente Workshop on Graphs and Combinatorial Optimization
On market-inspired approaches to propositional satisfiability
Artificial Intelligence
On the facets of the simple plant location packing polytope
Discrete Applied Mathematics - Workshop on discrete optimization DO'99, contributions to discrete optimization
Partition the vertices of a graph into induced matchings
Discrete Mathematics
Journal of Combinatorial Theory Series B
Scheduling length for switching element disjoint multicasting in Banyan-type switching networks
Journal of Systems Architecture: the EUROMICRO Journal
Fuzzy Sets and Systems - Special issue: Interfaces between fuzzy set theory and interval analysis
Optimal configuration of OSPF aggregates
IEEE/ACM Transactions on Networking (TON)
IEEE/ACM Transactions on Networking (TON)
On the reconfigurability of single-hub WDM ring networks
IEEE/ACM Transactions on Networking (TON)
An introduction to processor-time-optimal systolic arrays
Highly parallel computaions
Aqueous computing: a survey with an invitation to participate
Journal of Computer Science and Technology
Computers and Operations Research
The cardinality constrained covering traveling salesman problem
Computers and Operations Research
Recognizing DNA graphs is difficult
Discrete Applied Mathematics - Special issue: Computational molecular biology series issue IV
The algorithmics of folding proteins on lattices
Discrete Applied Mathematics - Special issue: Computational molecular biology series issue IV
Discrete Applied Mathematics
A parallelization of a heuristic for the maximum Clique problem
Journal of Computing Sciences in Colleges
The minimum expectation selection problem
Random Structures & Algorithms - Special issue: Proceedings of the tenth international conference "Random structures and algorithms"
Concise descriptions of subsets of structured sets
Proceedings of the twenty-second ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
When do bounds and domain propagation lead to the same search space
Proceedings of the 3rd ACM SIGPLAN international conference on Principles and practice of declarative programming
Theoretical Computer Science - Australasian computer science
On the parametric complexity of schedules to minimize tardy tasks
Theoretical Computer Science - Australasian computer science
On the expressivity and complexity of quantitative branching-time temporal logics
Theoretical Computer Science - Latin American theoretical informatics
Track assignment: a desirable intermediate step between global routing and detailed routing
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
Generic ILP versus specialized 0-1 ILP: an update
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
Hop-by-hop routing algorithms for premium traffic
ACM SIGCOMM Computer Communication Review
Proceedings of the tenth international symposium on Hardware/software codesign
A faster optimal register allocator
Proceedings of the 35th annual ACM/IEEE international symposium on Microarchitecture
Modelling competitive Hopfield networks for the maximum clique problem
Computers and Operations Research
Visiting a network of services with time constraints
Computers and Operations Research
A cross-border transportation system under supply and demand constraints
Computers and Operations Research - Special issue: Emerging economics
Diagnosability of regular systems
Journal of Algorithms
Proceedings of the 40th annual Design Automation Conference
Layered peer-to-peer streaming
NOSSDAV '03 Proceedings of the 13th international workshop on Network and operating systems support for digital audio and video
Real-Time Digital Signal Processing of Phased Array Radars
IEEE Transactions on Parallel and Distributed Systems
The size of MDP factored policies
Eighteenth national conference on Artificial intelligence
CD*: a real-time resolution optimal re-planner for globally constrained problems
Eighteenth national conference on Artificial intelligence
Optimal depth-first strategies for and-or trees
Eighteenth national conference on Artificial intelligence
A new algorithm for optimal bin packing
Eighteenth national conference on Artificial intelligence
Staff scheduling for inbound call centers and customer contact centers
Eighteenth national conference on Artificial intelligence
UTTSExam: a campus-wide university exam-timetabling system
Eighteenth national conference on Artificial intelligence
Multi-player game approach to solving multi-entity problems
Eighteenth national conference on Artificial intelligence
The complexity of verifying memory coherence
Proceedings of the fifteenth annual ACM symposium on Parallel algorithms and architectures
An efficient distributed algorithm for constructing small dominating sets
Distributed Computing - Special issue: Selected papers from PODC '01
Information and Computation - Special issue: LICS'97
Combinatorial algorithms for feedback problems in directed graphs
Information Processing Letters
Discovering all most specific sentences
ACM Transactions on Database Systems (TODS)
Parallel and distributed finite element analysis of structures
Engineering computational technology
Logic prespective on data and knowledge
Handbook of data mining and knowledge discovery
Handbook of data mining and knowledge discovery
Topology management in ad hoc networks
Proceedings of the 4th ACM international symposium on Mobile ad hoc networking & computing
Nonmonotonic reasoning: towards efficient calculi and implementations
Handbook of automated reasoning
Automated deduction for many-valued logics
Handbook of automated reasoning
Combining superposition, sorts and splitting
Handbook of automated reasoning
Graph-based generation of referring expressions
Computational Linguistics
Decomposable trees: a polynomial algorithm for tripodes
Discrete Applied Mathematics
Perfect edge domination and efficient edge domination in graphs
Discrete Applied Mathematics
Clustering and reassignment-based mapping strategy for message-passing architectures
Journal of Systems Architecture: the EUROMICRO Journal
Qualitative spatiotemporal representation and reasoning: a computational perspective
Exploring artificial intelligence in the new millennium
New tractable constraint classes from old
Exploring artificial intelligence in the new millennium
Incremental data allocation and reallocation in distributed database systems
Data warehousing and web engineering
Approximately-strategyproof and tractable multi-unit auctions
Proceedings of the 4th ACM conference on Electronic commerce
Random knapsack in expected polynomial time
Proceedings of the thirty-fifth annual ACM symposium on Theory of computing
OPT versus LOAD in dynamic storage allocation
Proceedings of the thirty-fifth annual ACM symposium on Theory of computing
A graph covering algorithm for a coarse grain reconfigurable system
Proceedings of the 2003 ACM SIGPLAN conference on Language, compiler, and tool for embedded systems
New polynomial-time instances to various knapsack-type problems
Fundamenta Informaticae
Fundamenta Informaticae
A backtracking adaptive threshold accepting algorithm for the vehicle routing problem
Systems Analysis Modelling Simulation
1-Optimality of static BSP computations: scheduling independent chains as a case study
Theoretical Computer Science
Complexity of DNA sequencing by hybridization
Theoretical Computer Science
A time-optimal solution for the path cover problem on cographs
Theoretical Computer Science
Theoretical Computer Science
Theoretical Computer Science
Approximate sequencing for variable length tasks
Theoretical Computer Science
On the k-path partition of graphs
Theoretical Computer Science
Performance aspects of dynamic re-allocation for partitioned data
CASCON '96 Proceedings of the 1996 conference of the Centre for Advanced Studies on Collaborative research
Journal of Automata, Languages and Combinatorics - Third international workshop on descriptional complexity of automata, grammars and related structures
Polynomial-time approximation schemes for packing and piercing fat objects
Journal of Algorithms
Scalar aggregation in inconsistent databases
Theoretical Computer Science - Database theory
Mining for empty spaces in large data sets
Theoretical Computer Science - Database theory
Idempotent and co-idempotent stack filters and min-max operators
Theoretical Computer Science
Algorithms for vertex-partitioning problems on graphs with fixed clique-width
Theoretical Computer Science
Automated cutting of natural products: a practical packing strategy
Machine vision for the inspection of natural products
PART: a partitioning tool for efficient use of distributed systems
ASAP '97 Proceedings of the IEEE International Conference on Application-Specific Systems, Architectures and Processors
Optimized software synthesis for synchronous dataflow
ASAP '97 Proceedings of the IEEE International Conference on Application-Specific Systems, Architectures and Processors
Static Scheduling of Instructions on Micronet-based Asynchronous Processors
ASYNC '96 Proceedings of the 2nd International Symposium on Advanced Research in Asynchronous Circuits and Systems
Gravity: Fast placement for 3-D VLSI
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Pattern Based Software Re-engineering: A Case Study
APSEC '99 Proceedings of the Sixth Asia Pacific Software Engineering Conference
An evaluation of bipartitioning techniques
ARVLSI '95 Proceedings of the 16th Conference on Advanced Research in VLSI (ARVLSI'95)
Systematic objective-driven computer architecture optimization
ARVLSI '95 Proceedings of the 16th Conference on Advanced Research in VLSI (ARVLSI'95)
When clusters meet partitions: new density-based methods for circuit decomposition
EDTC '95 Proceedings of the 1995 European conference on Design and Test
EDTC '95 Proceedings of the 1995 European conference on Design and Test
Using symbolic techniques to find the maximum clique in very large sparse graphs
EDTC '95 Proceedings of the 1995 European conference on Design and Test
Optimal Code Placement of Embedded Software for Instruction Caches
EDTC '96 Proceedings of the 1996 European conference on Design and Test
Recursive Bipartitioning of Signal Flow Graphs for Programmable Video Signal Processors
EDTC '96 Proceedings of the 1996 European conference on Design and Test
Cellular Automata for Generating Deterministic Test Sequences
EDTC '97 Proceedings of the 1997 European conference on Design and Test
Delay Management for Programmable Video Signal Processors
EDTC '97 Proceedings of the 1997 European conference on Design and Test
Minimizing ROBDD Sizes of Incompletely Specified Boolean Functions by Exploiting Strong Symmetries
EDTC '97 Proceedings of the 1997 European conference on Design and Test
Solving Graph Optimization Problems with ZBDDs
EDTC '97 Proceedings of the 1997 European conference on Design and Test
Computational Complexity of Some Problems Involving Congruences on Algebras
LICS '00 Proceedings of the 15th Annual IEEE Symposium on Logic in Computer Science
Layer assignment for yield enhancement
DFT '95 Proceedings of the IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems
Efficient algorithms for analyzing and synthesizing fault-tolerant datapaths
DFT '95 Proceedings of the IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems
Six Hypotheses in Search of a Theorem
CCC '97 Proceedings of the 12th Annual IEEE Conference on Computational Complexity
A Short Guide To Approximation Preserving Reductions
CCC '97 Proceedings of the 12th Annual IEEE Conference on Computational Complexity
Constraint Satisfaction: The Approximability of Minimization Problems
CCC '97 Proceedings of the 12th Annual IEEE Conference on Computational Complexity
CCC '97 Proceedings of the 12th Annual IEEE Conference on Computational Complexity
Annals of Mathematics and Artificial Intelligence
Parallel Monte Carlo Methods for Physical Mapping of Chromosones
CSB '02 Proceedings of the IEEE Computer Society Conference on Bioinformatics
A New Clustering Method for Microarray Data Analysis
CSB '02 Proceedings of the IEEE Computer Society Conference on Bioinformatics
Journal of Mathematical Psychology
An efficient fully polynomial approximation scheme for the Subset-Sum problem
Journal of Computer and System Sciences
A Scalable, Loadable Custom Programmable Logic Device for Solving Boolean Satisfiability Problems
FCCM '00 Proceedings of the 2000 IEEE Symposium on Field-Programmable Custom Computing Machines
The Directed Steiner Network Problem is Tractable for a Constant Number of Terminals
FOCS '99 Proceedings of the 40th Annual Symposium on Foundations of Computer Science
A Probabilistic Algorithm for k-SAT and Constraint Satisfaction Problems
FOCS '99 Proceedings of the 40th Annual Symposium on Foundations of Computer Science
Optimal task assignment in heterogeneous computing systems
HCW '97 Proceedings of the 6th Heterogeneous Computing Workshop (HCW '97)
Scheduling Resources in Multi-User, Heterogeneous, Computing Environments with SmartNet
HCW '98 Proceedings of the Seventh Heterogeneous Computing Workshop
Reducing channel density in standard cell layout
DAC '83 Proceedings of the 20th Design Automation Conference
Linear ordering and application to placement
DAC '83 Proceedings of the 20th Design Automation Conference
PRONTO: Quick PLA product reduction
DAC '83 Proceedings of the 20th Design Automation Conference
Heuristics for the Circuit Realization Problem
DAC '83 Proceedings of the 20th Design Automation Conference
A branch and bound algorithm for optimal pla folding
DAC '84 Proceedings of the 21st Design Automation Conference
Data processing and computer science theory
SIGCSE '83 Proceedings of the fourteenth SIGCSE technical symposium on Computer science education
Optimization by simulated annealing: A preliminary computational study for the TSP
WSC '83 Proceedings of the 15th conference on Winter Simulation - Volume 2
The Boolean Vector Machine [BVM]
ISCA '83 Proceedings of the 10th annual international symposium on Computer architecture
Finding an extremum in a network
ISCA '82 Proceedings of the 9th annual symposium on Computer Architecture
MANIP-a parallel computer system for implementing branch and bound algorithms
ISCA '81 Proceedings of the 8th annual symposium on Computer Architecture
A layout strategy for VLSI which is provably good (Extended Abstract)
STOC '82 Proceedings of the fourteenth annual ACM symposium on Theory of computing
Edge-deletion and edge-contraction problems
STOC '82 Proceedings of the fourteenth annual ACM symposium on Theory of computing
The complexity of facets (and some facets of complexity)
STOC '82 Proceedings of the fourteenth annual ACM symposium on Theory of computing
On approximating a vertex cover for planar graphs
STOC '82 Proceedings of the fourteenth annual ACM symposium on Theory of computing
Bandwidth constrained NP-Complete problems
STOC '81 Proceedings of the thirteenth annual ACM symposium on Theory of computing
The complexity of dynamic languages and dynamic optimization problems
STOC '81 Proceedings of the thirteenth annual ACM symposium on Theory of computing
Node-and edge-deletion NP-complete problems
STOC '78 Proceedings of the tenth annual ACM symposium on Theory of computing
The pebbling problem is complete in polynomial space
STOC '79 Proceedings of the eleventh annual ACM symposium on Theory of computing
The complexity of design automation problems
DAC '80 Proceedings of the 17th Design Automation Conference
The node cost measure for embedding graphs on the planar grid (Extended Abstract)
STOC '80 Proceedings of the twelfth annual ACM symposium on Theory of computing
An approach to the k paths problem
STOC '80 Proceedings of the twelfth annual ACM symposium on Theory of computing
A graphics typesetting language
Proceedings of the ACM SIGPLAN SIGOA symposium on Text manipulation
Concurrency control algorithms for multiversion database systems
PODC '82 Proceedings of the first ACM SIGACT-SIGOPS symposium on Principles of distributed computing
The failure and recovery problem for replicated databases
PODC '83 Proceedings of the second annual ACM symposium on Principles of distributed computing
Scheduling file transfers in a distributed network
PODC '83 Proceedings of the second annual ACM symposium on Principles of distributed computing
ISCA '84 Proceedings of the 11th annual international symposium on Computer architecture
A multiprocessor network suitable for single-chip VLSI implementation
ISCA '84 Proceedings of the 11th annual international symposium on Computer architecture
A survey of resource allocation methods in optimizing microcode compilers
MICRO 17 Proceedings of the 17th annual workshop on Microprogramming
Powers of graphs: A powerful approximation technique for bottleneck problems
STOC '84 Proceedings of the sixteenth annual ACM symposium on Theory of computing
On finding the exact solution of a zero-one knapsack problem
STOC '84 Proceedings of the sixteenth annual ACM symposium on Theory of computing
The complexity of approximate counting
STOC '83 Proceedings of the fifteenth annual ACM symposium on Theory of computing
STOC '83 Proceedings of the fifteenth annual ACM symposium on Theory of computing
Unary inclusion dependencies have polynomial time inference problems
STOC '83 Proceedings of the fifteenth annual ACM symposium on Theory of computing
Sparse sets in NP-P: Exptime versus nexptime
STOC '83 Proceedings of the fifteenth annual ACM symposium on Theory of computing
A depth-first branch-and-bound algorithm for optimal PLA folding
DAC '82 Proceedings of the 19th Design Automation Conference
DAC '82 Proceedings of the 19th Design Automation Conference
On routing two-point nets across a channel
DAC '82 Proceedings of the 19th Design Automation Conference
Topological optimization of an integrated circuit/packet-switched computer network
WSC '84 Proceedings of the 16th conference on Winter simulation
Parallel algorithms for unification and other complete problems in p
ACM '84 Proceedings of the 1984 annual conference of the ACM on The fifth generation challenge
That really is a hard problem you've spent six months working on: A tutorial on NP-complete problems
ACM '81 Proceedings of the ACM '81 conference
An algorithm for the induction of defeasible logic theories from databases
ADC '03 Proceedings of the 14th Australasian database conference - Volume 17
Some Heuristics and Experiments for Building a Multicasting Tree in a High-Speed Network
HPC-ASIA '97 Proceedings of the High-Performance Computing on the Information Superhighway, HPC-Asia '97
Task scheduling in distributed computing systems with a genetic algorithm
HPC-ASIA '97 Proceedings of the High-Performance Computing on the Information Superhighway, HPC-Asia '97
Accurate Modeling of Cache Replacement Policies in a Data Grid
MSS '03 Proceedings of the 20 th IEEE/11 th NASA Goddard Conference on Mass Storage Systems and Technologies (MSS'03)
Hierarchical Partitioning in a Rapid Prototyping Environment
RSP '96 Proceedings of the 7th IEEE International Workshop on Rapid System Prototyping (RSP '96)
Real-Time Support for Mobile Robotics
RTAS '03 Proceedings of the The 9th IEEE Real-Time and Embedded Technology and Applications Symposium
Template-Based Real-Time Dwell Scheduling with Energy Constraint
RTAS '03 Proceedings of the The 9th IEEE Real-Time and Embedded Technology and Applications Symposium
Beyond Proof-of-Compliance: Safety and Availability Analysis in Trust Management
SP '03 Proceedings of the 2003 IEEE Symposium on Security and Privacy
Geometric bipartitioning problem and its applications to VLSI
VLSID '96 Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
A simple yet effective genetic approach for the orientation assignment on cell-based layout
VLSID '96 Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
Channel routing in Manhattan-diagonal model
VLSID '96 Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
Functional Partitioning for Low Power Distributed Systems of Systems-on-a-chip
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
System-Level Point-to-Point Communication Synthesis Using Floorplanning Information
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
Task Graph Extraction for Embedded System Synthesis
VLSID '03 Proceedings of the 16th International Conference on VLSI Design
Formal Verification Using Bounded Model Checking: SAT versus Sequential ATPG Engines
VLSID '03 Proceedings of the 16th International Conference on VLSI Design
An Efficient Multi-Level Partitioning Algorithm for VLSI Circuits
VLSID '03 Proceedings of the 16th International Conference on VLSI Design
Methods to reduce test application time for accumulator-based self-test
VTS '97 Proceedings of the 15th IEEE VLSI Test Symposium
1.1 Test methodology for embedded cores which protects intellectual property
VTS '97 Proceedings of the 15th IEEE VLSI Test Symposium
One-way trap door functions based on the Boolean satisfiability problem
ICCC '02 Proceedings of the 15th international conference on Computer communication
Provable security for communication on the internet
ICCC '02 Proceedings of the 15th international conference on Computer communication
A Unified Framework and Algorithm for (T/F/C)DMA Channel Assignment in Wireless Networks
INFOCOM '97 Proceedings of the INFOCOM '97. Sixteenth Annual Joint Conference of the IEEE Computer and Communications Societies. Driving the Information Revolution
A Distributed Algorithm for Delay-Constrained Unicast Routing
INFOCOM '97 Proceedings of the INFOCOM '97. Sixteenth Annual Joint Conference of the IEEE Computer and Communications Societies. Driving the Information Revolution
Wrapper Design for Embedded Core Test
ITC '00 Proceedings of the 2000 IEEE International Test Conference
BIST-Based Delay Path Testing in FPGA Architectures
ITC '01 Proceedings of the 2001 IEEE International Test Conference
Test Wrapper and Test Access Mechanism Co-Optimization for System-on-Chip
ITC '01 Proceedings of the 2001 IEEE International Test Conference
Space and Time Compaction Schemes for Embedded Cores
ITC '01 Proceedings of the 2001 IEEE International Test Conference
ITC '01 Proceedings of the 2001 IEEE International Test Conference
An integrated experimental environment for distributed systems and networks
ACM SIGOPS Operating Systems Review - OSDI '02: Proceedings of the 5th symposium on Operating systems design and implementation
Parameterized complexity of vertex colouring
Discrete Applied Mathematics
Total balancedness condition for Steiner tree games
Discrete Applied Mathematics
On the complexity of digraph packings
Information Processing Letters
The Delay-Constrained Minimum Spanning Tree Problem
ISCC '97 Proceedings of the 2nd IEEE Symposium on Computers and Communications (ISCC '97)
Efficient Spectrum Utilization in Third Generation Cellular Communication Systems
ISCC '97 Proceedings of the 2nd IEEE Symposium on Computers and Communications (ISCC '97)
Test Width Compression for Built-In Self Testing
ITC '97 Proceedings of the 1997 IEEE International Test Conference
Parallelization of the scatter search for the p-median problem
Parallel Computing - Special issue: Parallel computing in logistics
Studying the Complexity of Global Verification for NP-Hard Discrete Optimization Problems
Journal of Global Optimization
Complexity in the case against accuracy estimation
Theoretical Computer Science
Efficient minus and signed domination in graphs
Theoretical Computer Science
Pure Nash equilibria: hard and easy games
Proceedings of the 9th conference on Theoretical aspects of rationality and knowledge
Multicasting and Broadcasting in Undirected WDM Networks
ICCNMC '01 Proceedings of the 2001 International Conference on Computer Networks and Mobile Computing (ICCNMC'01)
QoS-Assured Service Composition in Managed Service Overlay Networks
ICDCS '03 Proceedings of the 23rd International Conference on Distributed Computing Systems
Runtime Parallel Incremental Scheduling of DAGs
ICPP '00 Proceedings of the Proceedings of the 2000 International Conference on Parallel Processing
Utilization-Based Admission Control for Real-Time Applications
ICPP '00 Proceedings of the Proceedings of the 2000 International Conference on Parallel Processing
Probabilistic Analysis of Cyclic Packet Transmission Scheduling in WDM Optical Networks
ICPP '00 Proceedings of the Proceedings of the 2000 International Conference on Parallel Processing
Lexicographically Ordered Functional Dependencies and Their Application to Temporal Relations
IDEAS '99 Proceedings of the 1999 International Symposium on Database Engineering & Applications
A Study of Effective Regression Testing in Practice
ISSRE '97 Proceedings of the Eighth International Symposium on Software Reliability Engineering
Parameterized complexity: the main ideas and connections to practical computing
Experimental algorithmics
Instruction Set Design and Optimizations for Address Computation in DSP Architectures
ISSS '96 Proceedings of the 9th international symposium on System synthesis
Throughput Optimization in Disk-Based Real-Time Application Specific Systems
ISSS '96 Proceedings of the 9th international symposium on System synthesis
Path-Based Edge Activation for Dynamic Run-Time Scheduling
Proceedings of the 12th international symposium on System synthesis
Finding Essential Attributes from Binary Data
Annals of Mathematics and Artificial Intelligence
On Maximal Frequent and Minimal Infrequent Sets in Binary Matrices
Annals of Mathematics and Artificial Intelligence
Exact complexity of exact-four-colorability
Information Processing Letters
Constraint Selection and Deterministic Annealing
Journal of Global Optimization
On energy-optimal voltage scheduling for fixed-priority hard real-time systems
ACM Transactions on Embedded Computing Systems (TECS)
On maximizing the throughput of multiprocessor tasks
Theoretical Computer Science
Coalition formation with non-transferable payoff for group buying
AAMAS '03 Proceedings of the second international joint conference on Autonomous agents and multiagent systems
An exact algorithm for the maximum leaf spanning tree problem
Computers and Operations Research
Locally Optimal Algorithms for Designing Schedules Based on Hopfield Networks
Programming and Computing Software
On efficient fixed-parameter algorithms for weighted vertex cover
Journal of Algorithms
XML with data values: typechecking revisited
Journal of Computer and System Sciences - Special issu on PODS 2001
Querying websites using compact skeletons
Journal of Computer and System Sciences - Special issu on PODS 2001
Deadlock prevention by acyclic orientations
Discrete Applied Mathematics - Special issue: Algorithmic aspects of communication
Discrete Applied Mathematics - Special issue: Algorithmic aspects of communication
Tree-width and the monadic quantifier hierarchy
Theoretical Computer Science - Logic and complexity in computer science
On the pagination of complex documents
Computer Science in Perspective
Low power coordination in wireless ad-hoc networks
Proceedings of the 2003 international symposium on Low power electronics and design
On Minimum-Energy Broadcasting in All-Wireless Networks
LCN '01 Proceedings of the 26th Annual IEEE Conference on Local Computer Networks
Constant-time distributed dominating set approximation
Proceedings of the twenty-second annual symposium on Principles of distributed computing
Capturing both types and constraints in data integration
Proceedings of the 2003 ACM SIGMOD international conference on Management of data
Log Auditing through Model-Checking
CSFW '01 Proceedings of the 14th IEEE workshop on Computer Security Foundations
A Multiple-Criterion Model for Machine Scheduling
Journal of Scheduling
Contingent planning under uncertainty via stochastic satisfiability
Artificial Intelligence - special issue on planning with uncertainty and incomplete information
Computational Geometry: Theory and Applications - Special issue on the thirteenth canadian conference on computational geometry - CCCG'01
On the intrinsic complexity of learning recursive functions
Information and Computation
The Lazy Bureaucrat scheduling problem
Information and Computation
Reduced error pruning of branching programs cannot be approximated to within a logarithmic factor
Information Processing Letters
Using stable sets to bound the chromatic number
Information Processing Letters
Inequality of finite behaviors of rational weight finite automata is in R
Information Processing Letters
A linear time recognition algorithm for proper interval graphs
Information Processing Letters
Information Processing Letters
Reasoning about temporal relations: The tractable subalgebras of Allen's interval algebra
Journal of the ACM (JACM)
Counterexample-guided abstraction refinement for symbolic model checking
Journal of the ACM (JACM)
Journal of Parallel and Distributed Computing
EasyLocal++: an object-oriented framework for the flexible design of local-search algorithms
Software—Practice & Experience
Routing multicast connections with optimal network cost in ATM networks
ICCCN '95 Proceedings of the 4th International Conference on Computer Communications and Networks
Cost Effective Mobile Agent Planning for Distributed Information Retrieval
ICDCS '01 Proceedings of the The 21st International Conference on Distributed Computing Systems
Multicasting and Broadcasting in Large WDM Networks
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
Benchmarking the Task Graph Scheduling Algorithms
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
Processor Lower Bound Formulas for Array Computations and Parametric Diophantine Systems
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
Methods for memory test time reduction
MTDT '96 Proceedings of the 1996 IEEE International Workshop on Memory Technology, Design and Testing (MTDT '96)
The description logic handbook
Sequential and distributed evolutionary algorithms for combinatorial optimization problems
Recent advances in intelligent paradigms and applications
The one-commodity pickup-and-delivery travelling salesman problem
Combinatorial optimization - Eureka, you shrink!
Reconstructing a simple polytope from its graph
Combinatorial optimization - Eureka, you shrink!
Exact algorithms for NP-hard problems: a survey
Combinatorial optimization - Eureka, you shrink!
A PTAS for weight constrained Steiner trees in series-parallel graphs
Theoretical Computer Science
Text sparsification via local maxima
Theoretical Computer Science
Energy consumption of traffic padding schemes in wireless ad hoc networks
Real-time system security
Metaheuristics in combinatorial optimization: Overview and conceptual comparison
ACM Computing Surveys (CSUR)
Random 3-SAT: The Plot Thickens
Constraints
New Tractable Classes From Old
Constraints
Optimal Scheduling Algorithms for Tertiary Storage
Distributed and Parallel Databases
Identifying Regulatory Signals in DNA-Sequences with a Non-statistical Approximation Approach
CSB '03 Proceedings of the IEEE Computer Society Conference on Bioinformatics
Impact of interference on multi-hop wireless network performance
Proceedings of the 9th annual international conference on Mobile computing and networking
Efficient location area planning for personal communication systems
Proceedings of the 9th annual international conference on Mobile computing and networking
A Design Diversity Metric and Reliability Analysis for Redundant Systems
ITC '99 Proceedings of the 1999 IEEE International Test Conference
Neurons, Viscose Fluids, Freshwater Polyp Hydra-and Self-Organizing Information Systems
IEEE Intelligent Systems
IEEE Transactions on Parallel and Distributed Systems
An Efficient Algorithm for Gossiping in the Multicasting Communication Environment
IEEE Transactions on Parallel and Distributed Systems
Equilibria in topology control games for ad hoc networks
DIALM-POMC '03 Proceedings of the 2003 joint workshop on Foundations of mobile computing
Multicast time maximization in energy constrained wireless networks
DIALM-POMC '03 Proceedings of the 2003 joint workshop on Foundations of mobile computing
A Flexible and Energy-Efficient Coarse-Grained Reconfigurable Architecture for Mobile Systems
The Journal of Supercomputing
Variable-size data item placement for load and storage balancing
Journal of Systems and Software
Online multicast routing with bandwidth guarantees: a new approach using multicast network flow
IEEE/ACM Transactions on Networking (TON)
Discrete Applied Mathematics
On the minimum local-vertex-connectivity augmentation in graphs
Discrete Applied Mathematics
Distinguishing string selection problems
Information and Computation
Skolem functions of arithmetical sentences
Information and Computation
Theoretical Computer Science - Special issue: Tilings of the plane
A codicity undecidable problem in the plane
Theoretical Computer Science - Special issue: Tilings of the plane
Asynchronous Scheduling of Redundant Disk Arrays
IEEE Transactions on Computers
Random Redundant Storage in Disk Arrays: Complexity of Retrieval Problems
IEEE Transactions on Computers
Test vector decomposition-based static compaction algorithms for combinational circuits
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Test data compression using dictionaries with selective entries and fixed-length indices
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Linear time self-stabilizing colorings
Information Processing Letters
A dynamic multicast routing satisfying multiple QoS constraints
International Journal of Network Management
Finding the chromatic number by means of critical graphs
Journal of Experimental Algorithmics (JEA)
Cluster ensembles --- a knowledge reuse framework for combining multiple partitions
The Journal of Machine Learning Research
Tracking a small set of experts by mixing past posteriors
The Journal of Machine Learning Research
The Journal of Machine Learning Research
Tutorial on computational complexity
Interfaces
Cost-Efficient Design of Future Broadband Fixed Wireless Access Systems
Wireless Personal Communications: An International Journal
Breaking cycles for minimizing crossings
Journal of Experimental Algorithmics (JEA)
Balancing performance and flexibility with hardware support for network architectures
ACM Transactions on Computer Systems (TOCS)
SIGACT News complexity theory column 41
ACM SIGACT News
A generic library of problem solving methods for scheduling applications
Proceedings of the 2nd international conference on Knowledge capture
Fuzzy constraint networks for signal pattern recognition
Artificial Intelligence - Special issue: Fuzzy set and possibility theory-based methods in artificial intelligence
The complexity of the locally connected spanning tree problem
Discrete Applied Mathematics - Special issue: The second international colloquium, "journées de l'informatique messine"
The complexity of minimizing certain cost metrics for k-source spanning trees
Discrete Applied Mathematics - Special issue: The second international colloquium, "journées de l'informatique messine"
Graph coloring on coarse grained multicomputers
Discrete Applied Mathematics - Special issue: The second international colloquium, "journées de l'informatique messine"
Splitting a graph into disjoint induced paths or cycles
Discrete Applied Mathematics - Special issue: The second international colloquium, "journées de l'informatique messine"
On minimum delay clustering without replication
Integration, the VLSI Journal
An improved circuit-partitioning algorithm based on min-cut equivalence relation
Integration, the VLSI Journal
Constrained software generation for hardware-software systems
CODES '94 Proceedings of the 3rd international workshop on Hardware/software co-design
Simple Algorithms for Gilmore–Gomory's Traveling Salesman and Related Problems
Journal of Scheduling
A derandomization using min-wise independent permutations
Journal of Discrete Algorithms
A PTAS for the sparsest 2-spanner of 4-connected planar triangulations
Journal of Discrete Algorithms
An efficient fixed-parameter algorithm for 3-hitting set
Journal of Discrete Algorithms
Metaqueries: semantics, complexity, and efficient algorithms
Artificial Intelligence
Placement of network resources in communication networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
On good algorithms for determining unsatisfiability of propositional formulas
Discrete Applied Mathematics - The renesse issue on satisfiability
The complexity of makespan minimization for pipeline transportation
Theoretical Computer Science
On the complexity of finding common approximate substrings
Theoretical Computer Science
Approximability results for stable marriage problems with ties
Theoretical Computer Science
Learning attack strategies from intrusion alerts
Proceedings of the 10th ACM conference on Computer and communications security
On the number of distributed measurement points for network tomography
Proceedings of the 3rd ACM SIGCOMM conference on Internet measurement
On bottleneck assignment problems under categorization
Computers and Operations Research
An efficient placement method for large standard-cell and sea-of-gates designs
EURO-DAC '90 Proceedings of the conference on European design automation
An improved layout verification algorithm (LAVA)
EURO-DAC '90 Proceedings of the conference on European design automation
Interior and exterior functions of positive Boolean functions
Discrete Applied Mathematics
RE-tree: an efficient index structure for regular expressions
The VLDB Journal — The International Journal on Very Large Data Bases
Improving table compression with combinatorial optimization
Journal of the ACM (JACM)
Parallel switch-level simulation for VLSI
EURO-DAC '91 Proceedings of the conference on European design automation
EURO-DAC '91 Proceedings of the conference on European design automation
Improved force-directed scheduling
EURO-DAC '91 Proceedings of the conference on European design automation
Iterative compaction: an improved approach to graph and circuit bisection
EURO-DAC '91 Proceedings of the conference on European design automation
Reducing code size with echo instructions
Proceedings of the 2003 international conference on Compilers, architecture and synthesis for embedded systems
A Queueing System with Inverse Discipline, Two Types of Customers, and Markov Input Flow
Automation and Remote Control
Network Caching Strategies for a Shared Data Distribution for a Predefined Service Demand Sequence
IEEE Transactions on Knowledge and Data Engineering
MPIS: Maximal-Profit Item Selection with Cross-Selling Considerations
ICDM '03 Proceedings of the Third IEEE International Conference on Data Mining
Proceedings of the 2003 ACM symposium on Applied computing
Some connections between bounded query classes and non-uniform complexity
Information and Computation
Analysis and Design of an Agent Searching Algorithm for e-Marketplaces
Cluster Computing
A note on the bounded fragmentation property and its applications in network reliability
European Journal of Combinatorics
SIGACT news complexity theory column 42
ACM SIGACT News
Supervisor Reduction for Discrete-Event Systems
Discrete Event Dynamic Systems
Attribute value reordering for efficient hybrid OLAP
DOLAP '03 Proceedings of the 6th ACM international workshop on Data warehousing and OLAP
Advanced AI Search Techniques in Modern Digital Circuit Synthesis
Artificial Intelligence Review
Distributed testing without encountering controllability and observability problems
Information Processing Letters
High-level macro-modeling and estimation techniques for switching activity and power consumption
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Parallel 'go with the winners' algorithms in distributed memory models
Journal of Parallel and Distributed Computing - Special section best papers from the 2002 international parallel and distributed processing symposium
Generalized multipartitioning of multi-dimensional arrays for parallelizing line-sweep computations
Journal of Parallel and Distributed Computing - Special section best papers from the 2002 international parallel and distributed processing symposium
Bounds on the max and min bisection of random cubic and random 4-regular graphs
Theoretical Computer Science - Selected papers in honor of Lawrence Harper
Minimal and maximal exposure path algorithms for wireless embedded sensor networks
Proceedings of the 1st international conference on Embedded networked sensor systems
Model-based compression in wireless ad hoc networks
Proceedings of the 1st international conference on Embedded networked sensor systems
Personnel assignment problem with hierarchical ordering constraints
Computers and Industrial Engineering
The maximum edge biclique problem is NP-complete
Discrete Applied Mathematics
The Cougar Project: a work-in-progress report
ACM SIGMOD Record
Reasoning on regular path queries
ACM SIGMOD Record
Journal of Systems Architecture: the EUROMICRO Journal - Special issue: Reconfigurable systems
Advanced topics in database research vol. 1
A BIST Pattern Generator Design for Near-Perfect Fault Coverage
IEEE Transactions on Computers
On Task Scheduling Accuracy: Evaluation Methodology and Results
The Journal of Supercomputing
Point algebras for temporal reasoning: algorithms and complexity
Artificial Intelligence
Complexity and approximation results for scheduling multiprocessor tasks on a ring
Discrete Applied Mathematics - International symposium on combinatorial optimisation
Scheduling problems for parallel dedicated machines under multiple resource constraints
Discrete Applied Mathematics - International symposium on combinatorial optimisation
The bottleneck independent domination on the classes of bipartite graphs and block graphs
Information Sciences—Informatics and Computer Science: An International Journal
Information Systems
Journal of Computer and System Sciences
A timed Petri-net model for fine-grain loop scheduling
CASCON '91 Proceedings of the 1991 conference of the Centre for Advanced Studies on Collaborative research
Visualizing object oriented software in three dimensions
CASCON '93 Proceedings of the 1993 conference of the Centre for Advanced Studies on Collaborative research: software engineering - Volume 1
Containment and equivalence for a fragment of XPath
Journal of the ACM (JACM)
On merging structured documents with move operation
ISICT '03 Proceedings of the 1st international symposium on Information and communication technologies
The complexity of minimal satisfiability problems
Information and Computation
The complexity of economic equilibria for house allocation markets
Information Processing Letters
On caching effectiveness of web clusters under persistent connections
Journal of Parallel and Distributed Computing - Scalable web services and architecture
Evolutionary local search of fuzzy rules through a novel neuro-fuzzy encoding method
Evolutionary Computation
An approach to a feature-based comparison of solid models of machined parts
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Solving the 0/1 knapsack problem using an adaptive genetic algorithm
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
A Range-Compaction Heuristic for Graph Coloring
Journal of Heuristics
Design-as-satisfiability: A new approach to automated synthesis
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
On the complexity of graph tree partition problems
Discrete Applied Mathematics
Algorithms for graphs with small octopus
Discrete Applied Mathematics
Polynomial-time approximation of largest simplices in V-polytopes
Discrete Applied Mathematics
Non-approximability of precedence-constrained sequencing to minimize setups
Discrete Applied Mathematics
Some optimization problems on weak-bisplit graphs
Information Processing Letters
Solving large FPT problems on coarse-grained parallel machines
Journal of Computer and System Sciences - Special issue on Parameterized computation and complexity
Improving a fixed parameter tractability time bound for the shadow problem
Journal of Computer and System Sciences - Special issue on Parameterized computation and complexity
Graph separators: a parameterized view
Journal of Computer and System Sciences - Special issue on Parameterized computation and complexity
Constrained minimum vertex cover in bipartite graphs: complexity and parameterized algorithms
Journal of Computer and System Sciences - Special issue on Parameterized computation and complexity
Manhattan-diagonal routing in channels and switchboxes
ACM Transactions on Design Automation of Electronic Systems (TODAES)
A review of machine learning in dynamic scheduling of flexible manufacturing systems
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Delta optimizes continuing-qualification-training schedules for pilots
Interfaces - Wagner prize papers
Scheduling Space–Ground Communications for the Air Force Satellite Control Network
Journal of Scheduling
Sensitivity Analysis for Scheduling Problems
Journal of Scheduling
Constraint satisfaction algorithms for graph pattern matching
Mathematical Structures in Computer Science
Complexity of probabilistic reasoning in directed-path singly-connected Bayes networks
Artificial Intelligence
Facility location and the geometric minimum-diameter spanning tree
Computational Geometry: Theory and Applications - Special issue on computational geometry - EWCG'02
Modeling and formal verification of embedded systems based on a Petri net representation
Journal of Systems Architecture: the EUROMICRO Journal - Special issue: Synthesis and verification
Self-adapting software for numerical linear algebra and LAPACK for clusters
Parallel Computing - Special issue: Parallel and distributed scientific and engineering computing
A parallel algorithm for the extraction of structured motifs
Proceedings of the 2004 ACM symposium on Applied computing
Degree restricted spanning trees of graphs
Proceedings of the 2004 ACM symposium on Applied computing
Profit-driven uniprocessor scheduling with energy and timing constraints
Proceedings of the 2004 ACM symposium on Applied computing
An improved hybrid genetic algorithm for the generalized assignment problem
Proceedings of the 2004 ACM symposium on Applied computing
Proceedings of the 2004 ACM symposium on Applied computing
Solving the maximum clique problem by k-opt local search
Proceedings of the 2004 ACM symposium on Applied computing
On Concurrent Error Detection with Bounded Latency in FSMs
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Breaking Instance-Independent Symmetries in Exact Graph Coloring
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Cache-Aware Scratchpad Allocation Algorithm
Proceedings of the conference on Design, automation and test in Europe - Volume 2
Finding optimal paths in MREP routing
Information Processing Letters
Chromatic, Flow and Reliability Polynomials: The Complexity of their Coefficients
Combinatorics, Probability and Computing
Instruction Scheduling for Low Power
Journal of VLSI Signal Processing Systems
Highlighting programming language issues using mixed language programming nn Maple and C
Proceedings of the 35th SIGCSE technical symposium on Computer science education
On Dominating Sets and Independent Sets of Graphs
Combinatorics, Probability and Computing
Combinatorics, Probability and Computing
Uniquely Colourable Graphs and the Hardness of Colouring Graphs of Large Girth
Combinatorics, Probability and Computing
On the Maximum Cut of Line Graphs
Combinatorics, Probability and Computing
D-Ants: savings based ants divide and conquer the vehicle routing problem
Computers and Operations Research
Polynomial algorithm for finding the largest independent sets in graphs without forks
Discrete Applied Mathematics
Residual reliability of P-threshold graphs
Discrete Applied Mathematics
On complexity of minimization and compression problems for models of sequential choice
Discrete Applied Mathematics
Decidability of bounded second order unification
Information and Computation
Best ellipsoidal relaxation to solve a nonconvex problem
Journal of Computational and Applied Mathematics - Special issue: Proceedings of the international conference on linear algebra and arithmetic, Rabat, Morocco, 28-31 May 2001
The Haplotyping problem: an overview of computational models and solutions
Journal of Computer Science and Technology
Pseudo-cycle-based multicast routing in wormhole-routed networks
Journal of Computer Science and Technology
A New Approach to Fault-Tolerant Wormhole Routing for Mesh-Connected Parallel Computers
IEEE Transactions on Computers
A constraint satisfaction approach to testbed embedding services
ACM SIGCOMM Computer Communication Review
Iterative schedule optimization for voltage scalable distributed embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
Existential second-order logic over graphs: Charting the tractability frontier
Journal of the ACM (JACM)
The Difficulty of Reduced Error Pruning of Leveled Branching Programs
Annals of Mathematics and Artificial Intelligence
(k, +)-distance-hereditary graphs
Journal of Discrete Algorithms
Efficient algorithms for checking the equivalence of multistage interconnection networks
Journal of Parallel and Distributed Computing
On the power assignment problem in radio networks
Mobile Networks and Applications - Discrete algorithms and methods for mobile computing and communications
Routing with topology aggregation in delay-bandwidth sensitive networks
IEEE/ACM Transactions on Networking (TON)
Path selection methods with multiple constraints in service-guaranteed WDM networks
IEEE/ACM Transactions on Networking (TON)
Optimal sequential paging in cellular wireless networks
Wireless Networks
Automation and Remote Control
Word-for-word glossing with contextually similar words
NAACL 2000 Proceedings of the 1st North American chapter of the Association for Computational Linguistics conference
Lock-Gain Based Graph Partitioning
Journal of Heuristics
RECOMB '04 Proceedings of the eighth annual international conference on Resaerch in computational molecular biology
Reconciliation problems for duplication, loss and horizontal gene transfer
RECOMB '04 Proceedings of the eighth annual international conference on Resaerch in computational molecular biology
Treating the Independent Set Problem by 2D Ising Interactions with Adiabatic Quantum Computing
Quantum Information Processing
A Library Hierarchy for Implementing Scalable Parallel Search Algorithms
The Journal of Supercomputing
Combining local and global search in a constraint programming environment
The Knowledge Engineering Review
Validation and verification of knowledge-based systems: report on EUROVAV99
The Knowledge Engineering Review
On the computational complexity of upper total domination
Discrete Applied Mathematics - Discrete mathematics and theoretical computer science (DMTCS)
Complexity results on a paint shop problem
Discrete Applied Mathematics - The 1st cologne-twente workshop on graphs and combinatorial optimization (CTW 2001)
Divider-based algorithms for hierarchical tree partitioning
Discrete Applied Mathematics - The 1st cologne-twente workshop on graphs and combinatorial optimization (CTW 2001)
On approximability of linear ordering and related NP-optimization problems on graphs
Discrete Applied Mathematics - The 1st cologne-twente workshop on graphs and combinatorial optimization (CTW 2001)
Solving problems for maximal reducible flowgraphs
Discrete Applied Mathematics - The 1st cologne-twente workshop on graphs and combinatorial optimization (CTW 2001)
Efficient robust algorithms for the maximum weight stable set problem in chair-free graph classes
Information Processing Letters
Feedback vertex sets in star graphs
Information Processing Letters
An efficient parameterized algorithm for m-set packing
Journal of Algorithms
Learning dynamics of pesticide abuse through data mining
ACSW Frontiers '04 Proceedings of the second workshop on Australasian information security, Data Mining and Web Intelligence, and Software Internationalisation - Volume 32
Representing reductions of NP-complete problems in logical frameworks: a case study
MERLIN '03 Proceedings of the 2003 ACM SIGPLAN workshop on Mechanized reasoning about languages with variable binding
Formalisms for morphographemic description
EACL '87 Proceedings of the third conference on European chapter of the Association for Computational Linguistics
Formalisms for morphographemic description
EACL '87 Proceedings of the third conference on European chapter of the Association for Computational Linguistics
Proceedings of the 1st conference on Computing frontiers
Proxy-Assisted Periodic Broadcast with Multiple Servers
ICDCSW '04 Proceedings of the 24th International Conference on Distributed Computing Systems Workshops - W7: EC (ICDCSW'04) - Volume 7
Bandwidth Reservation in Multihop Wireless Networks: Complexity and Mechanisms
ICDCSW '04 Proceedings of the 24th International Conference on Distributed Computing Systems Workshops - W7: EC (ICDCSW'04) - Volume 7
ItCompress: An Iterative Semantic Compression Algorithm
ICDE '04 Proceedings of the 20th International Conference on Data Engineering
Predicting the semantic orientation of adjectives
ACL '98 Proceedings of the 35th Annual Meeting of the Association for Computational Linguistics and Eighth Conference of the European Chapter of the Association for Computational Linguistics
String transformation learning
ACL '98 Proceedings of the 35th Annual Meeting of the Association for Computational Linguistics and Eighth Conference of the European Chapter of the Association for Computational Linguistics
On the computational complexity of 2-interval pattern matching problems
Theoretical Computer Science
Theoretical Computer Science
Computational aspects of the 2-dimension of partially ordered sets
Theoretical Computer Science
ACL '93 Proceedings of the 31st annual meeting on Association for Computational Linguistics
An attributive logic of set descriptions and set operations
ACL '94 Proceedings of the 32nd annual meeting on Association for Computational Linguistics
The computational complexity of avoiding conversational implicatures
ACL '90 Proceedings of the 28th annual meeting on Association for Computational Linguistics
Parsing for semidirectional Lambek Grammar is NP-complete
ACL '96 Proceedings of the 34th annual meeting on Association for Computational Linguistics
An efficient compiler for weighted rewrite rules
ACL '96 Proceedings of the 34th annual meeting on Association for Computational Linguistics
A new fuzzy-decision based load balancing system for distributed object computing
Journal of Parallel and Distributed Computing
DYNAMIC VISIT-ORDER RULES FOR BATCH-SERVICE POLLING
Probability in the Engineering and Informational Sciences
An Immune-Evolutionary Algorithm for Multiple Rearrangements of Gene Expression Data
Genetic Programming and Evolvable Machines
Windows scheduling as a restricted version of Bin Packing
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
The list partition problem for graphs
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
Minimizing the stabbing number of matchings, trees, and triangulations
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
Probabilistic analysis of knapsack core algorithms
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
Buffer minimization using max-coloring
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
Approximate local search in combinatorial optimization
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
Computing equilibria for congestion games with (im)perfect information
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
On broadcasting in heterogenous networks
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
Implementing a Test for Tractability
Constraints
Data Generation for Path Testing
Software Quality Control
Naps: scalable, robust topology management in wireless ad hoc networks
Proceedings of the 3rd international symposium on Information processing in sensor networks
Fast and optimal decoding for machine translation
Artificial Intelligence
Cardinality constrained minimum cut problems: complexity and algorithms
Discrete Applied Mathematics
Minimum restricted diameter spanning trees
Discrete Applied Mathematics
Information and Computation
Approximation algorithms for a hierarchically structured bin packing problem
Information Processing Letters
On the profile of the corona of two graphs
Information Processing Letters
The complexity of finding small triangulations of convex 3-polytopes
Journal of Algorithms - Special issue: SODA 2000
Dichotomies for classes of homomorphism problems involving unary functions
Theoretical Computer Science
On the complexity of inducing categorical and quantitative association rules
Theoretical Computer Science
Complexity, appeal and challenges of combinatorial games
Theoretical Computer Science - Algorithmic combinatorial game theory
Theoretical Computer Science - Algorithmic combinatorial game theory
A genetic algorithm approach for regrouping service sites
Computers and Operations Research
Algorithms for optimal orientations of a unicyclic graph
ACM-SE 42 Proceedings of the 42nd annual Southeast regional conference
On the expressibility of stable logic programming
Theory and Practice of Logic Programming
An improved genetic heuristic to support the design of flexible manufacturing systems
Computers and Industrial Engineering
Traffic engineering for MPLS-based virtual private networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
A Hybrid Improvement Heuristic for the One-Dimensional Bin Packing Problem
Journal of Heuristics
Investigation of the Fitness Landscapes in Graph Bipartitioning: An Empirical Study
Journal of Heuristics
An Effective Multilevel Algorithm for Bisecting Graphs and Hypergraphs
IEEE Transactions on Computers
A Comparative Study of Two Boolean Formulations of FPGA Detailed Routing Constraints
IEEE Transactions on Computers
Energy Efficient Broadcast Routing in Static Ad Hoc Wireless Networks
IEEE Transactions on Mobile Computing
Cost-Driven Selection of Parity Trees
VTS '04 Proceedings of the 22nd IEEE VLSI Test Symposium
Prediction models for cyclomatic complexity
ACM SIGPLAN Notices
Orthogonal hypergraph routing for improved visibility
Proceedings of the 14th ACM Great Lakes symposium on VLSI
A Parallel Multilevel Metaheuristic for Graph Partitioning
Journal of Heuristics
Efficient instruction scheduling for a pipelined architecture
ACM SIGPLAN Notices - Best of PLDI 1979-1999
Software pipelining: an effective scheduling technique for VLIW machines
ACM SIGPLAN Notices - Best of PLDI 1979-1999
Approximation algorithms for TSP with neighborhoods in the plane
Journal of Algorithms - Special issue: Twelfth annual ACM-SIAM symposium on discrete algorithms
An efficient graph algorithm for dominance constraints
Journal of Algorithms - Special issue: Twelfth annual ACM-SIAM symposium on discrete algorithms
Minimum spanning tree with hop restrictions
Journal of Algorithms - Special issue: Twelfth annual ACM-SIAM symposium on discrete algorithms
Journal of Algorithms - Special issue: Twelfth annual ACM-SIAM symposium on discrete algorithms
Undecidable problems of decentralized observation and control on regular languages
Information Processing Letters
Succinct specifications of portable document access policies
Proceedings of the ninth ACM symposium on Access control models and technologies
Polynomial-time data reduction for dominating set
Journal of the ACM (JACM)
On clusterings: Good, bad and spectral
Journal of the ACM (JACM)
Local Search Genetic Algorithms for the Job Shop Scheduling Problem
Applied Intelligence
On the complexity of permutation network design
ACM SIGACT News
Logical Methods for Studying Relations in Criterial Spaces with Arbitrary Ordinal Scales
Automation and Remote Control
Interval data minmax regret network optimization problems
Discrete Applied Mathematics
Selecting the branches for an evolutionary tree: a polynomial time approximation scheme
Journal of Algorithms
The computational complexity of sentence derivation in functional unification grammar
COLING '86 Proceedings of the 11th coference on Computational linguistics
Shake-and-bake machine translation
COLING '92 Proceedings of the 14th conference on Computational linguistics - Volume 2
Letting the cat out of the bag: generation for shake-and-bake MT
COLING '92 Proceedings of the 14th conference on Computational linguistics - Volume 2
Uniform recognition for acyclic context-sensitive grammars is NP-complete
COLING '92 Proceedings of the 14th conference on Computational linguistics - Volume 4
Signaling-pathway-based molecular computing for efficient 3-SAT problem solving
Information Sciences—Informatics and Computer Science: An International Journal - Special issue: FEA 2002
Graph properties checkable in linear time in the number of vertices
Journal of Computer and System Sciences
The design of dynamically reconfigurable datapath coprocessors
ACM Transactions on Embedded Computing Systems (TECS)
Experiments on the minimum linear arrangement problem
Journal of Experimental Algorithmics (JEA)
Probabilistic regression suites for functional verification
Proceedings of the 41st annual Design Automation Conference
Area-efficient instruction set synthesis for reconfigurable system-on-chip designs
Proceedings of the 41st annual Design Automation Conference
Energy-aware deterministic fault tolerance in distributed real-time embedded systems
Proceedings of the 41st annual Design Automation Conference
Inducing heuristics to decide whether to schedule
Proceedings of the ACM SIGPLAN 2004 conference on Programming language design and implementation
A hybrid genetic hill-climbing algorithm for four-coloring map problems
Design and application of hybrid intelligent systems
Approximation lower bounds in online LIB bin packing and covering
Journal of Automata, Languages and Combinatorics - Special issue: Selected papers of the 13th Australasian workshop on combinatorial algorithms
From monomials to words to graphs
Journal of Combinatorial Theory Series A
Crucial words and the complexity of some extremal problems for sets of prohibited words
Journal of Combinatorial Theory Series A
Discrete Applied Mathematics - The 2001 international workshop on combinatorial image analysis (IWCIA 2001)
Fair Bandwidth Allocation for Multicasting in Networks with Discrete Feasible Set
IEEE Transactions on Computers
Minimum and Maximum Utilization Bounds for Multiprocessor Rate Monotonic Scheduling
IEEE Transactions on Parallel and Distributed Systems
Minimizing Total Completion Time Subject to Job Release Dates and Preemption Penalties
Journal of Scheduling
A fixed-parameter tractable algorithm for matrix domination
Information Processing Letters
Exact algorithms for finding minimum transversals in rank-3 hypergraphs
Journal of Algorithms
Establishing wireless conference calls under delay constraints
Journal of Algorithms
Circular arrangements and cyclic broadcast scheduling
Journal of Algorithms
Asymmetric k-center is log* n-hard to approximate
STOC '04 Proceedings of the thirty-sixth annual ACM symposium on Theory of computing
New hardness results for congestion minimization and machine scheduling
STOC '04 Proceedings of the thirty-sixth annual ACM symposium on Theory of computing
Typical properties of winners and losers in discrete optimization
STOC '04 Proceedings of the thirty-sixth annual ACM symposium on Theory of computing
Information-theoretic tools for mining database structure from large data sets
SIGMOD '04 Proceedings of the 2004 ACM SIGMOD international conference on Management of data
A New Conceptual Clustering Framework
Machine Learning
Central Clustering of Attributed Graphs
Machine Learning
Approximation schemes for parallel machine scheduling problems with controllable processing times
Computers and Operations Research
Joint determination of machine cells and linear intercell layout
Computers and Operations Research
Makespan minimization subject to flowtime optimality on identical parallel machines
Computers and Operations Research
Equal processing and equal setup time cases of scheduling parallel machines with a single server
Computers and Operations Research
Packet-mode policies for input-queued switches
Proceedings of the sixteenth annual ACM symposium on Parallelism in algorithms and architectures
Parallelism versus memory allocation in pipelined router forwarding engines
Proceedings of the sixteenth annual ACM symposium on Parallelism in algorithms and architectures
Proceedings of the sixteenth annual ACM symposium on Parallelism in algorithms and architectures
Scheduling against an adversarial network
Proceedings of the sixteenth annual ACM symposium on Parallelism in algorithms and architectures
Fast and energy-frugal deterministic test through efficient compression and compaction techniques
Journal of Systems Architecture: the EUROMICRO Journal - Special issue: Desing and test of systems on a chip
Complexity of one-cycle robotic flow-shops
Journal of Scheduling
Performance bounds of algorithms for scheduling advertisements on a web page
Journal of Scheduling
Concurrent open shop scheduling to minimize the weighted number of tardy jobs
Journal of Scheduling
A Mixed Closure-CSP Method for Solving Scheduling Problems
Applied Intelligence
Privacy: A Machine Learning View
IEEE Transactions on Knowledge and Data Engineering
INSIDE: INstruction Selection/Identification & Design Exploration for Extensible Processors
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Gradual Relaxation Techniques with Applications to Behavioral Synthesis
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
A Probabilistic Approach to Buffer Insertion
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Dynamic Platform Management for Configurable Platform-Based System-on-Chips
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Layout-Aware Scan Chain Synthesis for Improved Path Delay Fault Coverage
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
New upper bounds on feedback vertex numbers in butterflies
Information Processing Letters
Profile-directed restructuring of operating system code
IBM Systems Journal
Chromatic Roots are Dense in the Whole Complex Plane
Combinatorics, Probability and Computing
Selfish caching in distributed systems: a game-theoretic analysis
Proceedings of the twenty-third annual ACM symposium on Principles of distributed computing
Proceedings of the twenty-third annual ACM symposium on Principles of distributed computing
A two-tier heterogeneous mobile Ad Hoc network architecture and its load-balance routing problem
Mobile Networks and Applications
Analyzing the Performance of Generalized Hill Climbing Algorithms
Journal of Heuristics
An efficient voltage scaling algorithm for complex SoCs with few number of voltage modes
Proceedings of the 2004 international symposium on Low power electronics and design
Codeword design and information encoding in DNA ensembles
Natural Computing: an international journal
An information-theoretic model for steganography
Information and Computation
On the computational complexity of Longeley's H functional
Theoretical Computer Science - Implicit computational complexity
Algorithms for four variants of the exact satisfiability problem
Theoretical Computer Science
CLIP4: hybrid inductive machine learning algorithm that generates inequality rules
Information Sciences: an International Journal - Special issue: Soft computing data mining
The NP-completeness of a tomographical problem on bicolored domino tilings
Theoretical Computer Science - Combinatorics of the discrete plane and tilings
On unique graph 3-colorability and parsimonious reductions in the plane
Theoretical Computer Science - Combinatorics of the discrete plane and tilings
Simultaneous shield insertion and net ordering for capacitive and inductive coupling minimization
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Approximating a collection of frequent sets
Proceedings of the tenth ACM SIGKDD international conference on Knowledge discovery and data mining
Proceedings of the tenth ACM SIGKDD international conference on Knowledge discovery and data mining
The complexity of mining maximal frequent itemsets and maximal frequent patterns
Proceedings of the tenth ACM SIGKDD international conference on Knowledge discovery and data mining
A microeconomic data mining problem: customer-oriented catalog segmentation
Proceedings of the tenth ACM SIGKDD international conference on Knowledge discovery and data mining
IBM Journal of Research and Development
Utility computing SLA management based upon business objectives
IBM Systems Journal
On Stratified Belief Base Compilation
Annals of Mathematics and Artificial Intelligence
Complexity analysis and speedup techniques for optimal buffer insertion with minimum cost
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Fast and efficient voltage scheduling by evolutionary slack distribution
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Enabling on-chip diversity through architectural communication design
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Optimizing cost and performance for multihoming
Proceedings of the 2004 conference on Applications, technologies, architectures, and protocols for computer communications
A local search approximation algorithm for k-means clustering
Computational Geometry: Theory and Applications - Special issue on the 18th annual symposium on computational geometrySoCG2002
Packing Steiner trees with identical terminal sets
Information Processing Letters - Devoted to the rapid publication of short contributions to information processing
Solving the mesh-partitioning problem with an ant-colony algorithm
Parallel Computing - Special issue: Parallel and nature-inspired computational paradigms and applications
Version spaces and the consistency problem
Artificial Intelligence
The complexity of constraint satisfaction problems for small relation algebras
Artificial Intelligence
Facilitating reuse in hardware models with enhanced type inference
Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Dynamic overlay of scratchpad memory for energy minimization
Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Some Dichotomy Theorems for Neural Learning Problems
The Journal of Machine Learning Research
Divisible Load Scheduling in Systems with Limited Memory
Cluster Computing
Extremal graphs for the list-coloring version of a theorem of Nordhaus and Gaddum
Discrete Applied Mathematics - Brazilian symposium on graphs, algorithms and combinatorics
A distributed algorithm to find k-dominating sets
Discrete Applied Mathematics - Brazilian symposium on graphs, algorithms and combinatorics
Adaptive Offloading for Pervasive Computing
IEEE Pervasive Computing
An Efficient Algorithm for Discovering Frequent Subgraphs
IEEE Transactions on Knowledge and Data Engineering
Dominating the complements of bounded tolerance graphs and the complements of trapezoid graphs
Discrete Applied Mathematics
The average connectivity of a digraph
Discrete Applied Mathematics
Continuous bottleneck tree partitioning problems
Discrete Applied Mathematics
New lower bounds for the three-dimensional finite bin packing problem
Discrete Applied Mathematics
The inapproximability of lattice and coding problems with preprocessing
Journal of Computer and System Sciences - Special issue on computational complexity 2002
Broadcasting schemes for hypercubes with background traffic
Journal of Systems and Software - Special issue: Performance modeling and analysis of computer systems and networks
The dominating set problem is fixed parameter tractable for graphs of bounded genus
Journal of Algorithms
Proceedings of the 2004 joint workshop on Foundations of mobile computing
Layout-Driven SOC Test Architecture Design for Test Time and Wire Length Minimization
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Mobile dynamic content distribution networks
MSWiM '04 Proceedings of the 7th ACM international symposium on Modeling, analysis and simulation of wireless and mobile systems
Initializing newly deployed ad hoc and sensor networks
Proceedings of the 10th annual international conference on Mobile computing and networking
Energy-efficient broadcasting in ad-hoc networks: combining MSTs with shortest-path trees
PE-WASUN '04 Proceedings of the 1st ACM international workshop on Performance evaluation of wireless ad hoc, sensor, and ubiquitous networks
Static program analysis of embedded executable assembly code
Proceedings of the 2004 international conference on Compilers, architecture, and synthesis for embedded systems
Global Optimization Performance Measures for Generalized Hill Climbing Algorithms
Journal of Global Optimization
An evolutionary algorithm approach to the share of choices problem in the product line design
Computers and Operations Research
Max Cut for Random Graphs with a Planted Partition
Combinatorics, Probability and Computing
NEXP TIME-complete description logics with concrete domains
ACM Transactions on Computational Logic (TOCL)
Efficient Design Diversity Estimation for Combinational Circuits
IEEE Transactions on Computers
Test-Suite Reduction for Model Based Tests: Effects on Test Quality and Implications for Testing
Proceedings of the 19th IEEE international conference on Automated software engineering
PFGASAT" A Genetic SAT Solver Combining Partitioning and Fuzzy Strategies
COMPSAC '04 Proceedings of the 28th Annual International Computer Software and Applications Conference - Volume 01
Proceedings of the ACM 2nd international workshop on Video surveillance & sensor networks
A software/reconfigurable hardware SAT solver
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Efficient beacon placement for network tomography
Proceedings of the 4th ACM SIGCOMM conference on Internet measurement
Real Time Scheduling Theory: A Historical Perspective
Real-Time Systems
A New Memetic Algorithm for the Asymmetric Traveling Salesman Problem
Journal of Heuristics
On the computational complexity of qualitative coalitional games
Artificial Intelligence
A multi-dimensional approach to force-directed layouts of large graphs
Computational Geometry: Theory and Applications - Special issue on the 10th fall workshop on computational geometry
Computational Geometry: Theory and Applications - Special issue on the 10th fall workshop on computational geometry
Assigning service requests in voice-over-internet gateway multiprocessors
Computers and Operations Research
Hybrid genetic algorithm for optimization problems with permutation property
Computers and Operations Research
Optimal and heuristic algorithms for quality-of-service routing with multiple constraints
Performance Evaluation
Implementing knowledge-based programs
TARK '96 Proceedings of the 6th conference on Theoretical aspects of rationality and knowledge
On mutually-exclusive roles and separation of duty
Proceedings of the 11th ACM conference on Computer and communications security
Indexing text data under space constraints
Proceedings of the thirteenth ACM international conference on Information and knowledge management
Scheduling Queries to Improve the Freshness of a Website
World Wide Web
A Stochastic Limit Approach to the SAT Problem
Open Systems & Information Dynamics
Approximation algorithms for classes of graphs excluding single-crossing graphs as minors
Journal of Computer and System Sciences
Compact DAG representation and its symbolic scheduling
Journal of Parallel and Distributed Computing
Fast optimal load balancing algorithms for 1D partitioning
Journal of Parallel and Distributed Computing
Progress in Quantum Algorithms
Quantum Information Processing
Concepts of exact QoS routing algorithms
IEEE/ACM Transactions on Networking (TON)
A Noniterative Greedy Algorithm for Multiframe Point Correspondence
IEEE Transactions on Pattern Analysis and Machine Intelligence
Diverse Routing for Shared Risk Resource Groups (SRRG) Failures in WDM Optical Networks
BROADNETS '04 Proceedings of the First International Conference on Broadband Networks
Automatic tiling of iterative stencil loops
ACM Transactions on Programming Languages and Systems (TOPLAS)
Sensor-centric energy-constrained reliable query routing for wireless sensor networks
Journal of Parallel and Distributed Computing
Index-Based Persistent Document Identifiers
Information Retrieval
Counting for Satisfiability by Inverting Resolution
Artificial Intelligence Review
Firmato: A novel firewall management toolkit
ACM Transactions on Computer Systems (TOCS)
Advanced fitness landscape analysis and the performance of memetic algorithms
Evolutionary Computation - Special issue on magnetic algorithms
Detecting tetrahedralizations of a set of line segments
Journal of Algorithms
On Exact Selection of Minimally Unsatisfiable Subformulae
Annals of Mathematics and Artificial Intelligence
Probability Logic and Optimization SAT: The PSAT and CPA Models
Annals of Mathematics and Artificial Intelligence
Generalizations of Matched CNF Formulas
Annals of Mathematics and Artificial Intelligence
On Deciding Subsumption Problems
Annals of Mathematics and Artificial Intelligence
The UCSC Kestrel Parallel Processor
IEEE Transactions on Parallel and Distributed Systems
Mining Closed and Maximal Frequent Subtrees from Databases of Labeled Rooted Trees
IEEE Transactions on Knowledge and Data Engineering
Approximating cost-based abduction is NP-hard
Artificial Intelligence
Heuristic and exact algorithms for the spanning tree detection problem
Computers and Operations Research
Approximation algorithms for the optimal p-source communication spanning tree
Discrete Applied Mathematics
Polynomial transformations and data-independent neighborhood functions
Discrete Applied Mathematics
An improved algorithm for the k-source maximum eccentricity spanning trees
Discrete Applied Mathematics
ATM layouts with bounded hop count and congestion
Distributed Computing
Information Processing Letters
Random knapsack in expected polynomial time
Journal of Computer and System Sciences - Special issue: STOC 2003
Automated analysis of DNA hybridization images for high-throughput genomics
Machine Vision and Applications
An introduction to genetic algorithms
Journal of Computing Sciences in Colleges
An analysis of lower bound procedures for the bin packing problem
Computers and Operations Research
Embedded local search approaches for routing optimization
Computers and Operations Research
Minimizing the total completion time in single-machine scheduling with step-deteriorating jobs
Computers and Operations Research
Seventeen lines and one-hundred-and-one points
Theoretical Computer Science
On the power of membrane division in P systems
Theoretical Computer Science - Words, languages and combinatorics
Linear time approximation schemes for vehicle scheduling problems
Theoretical Computer Science - Special issue: Online algorithms in memoriam, Steve Seiden
The complexity of Boolean matrix root computation
Theoretical Computer Science - Special papers from: COCOON 2003
Some connections between learning and optimization
Discrete Applied Mathematics - Discrete mathematics & data mining (DM & DM)
Cluster graph modification problems
Discrete Applied Mathematics - Discrete mathematics & data mining (DM & DM)
Dynamic programming solution for multiple query optimization problem
Information Processing Letters
Local ratio: A unified framework for approximation algorithms. In Memoriam: Shimon Even 1935-2004
ACM Computing Surveys (CSUR)
NoC Synthesis Flow for Customized Domain Specific Multiprocessor Systems-on-Chip
IEEE Transactions on Parallel and Distributed Systems
Xstream: A Middleware for Streaming XML Contents over Wireless Environments
IEEE Transactions on Software Engineering
Algorithmic aspects of hardware/software partitioning
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Computers and Industrial Engineering
Error-correction and crosstalk avoidance in DSM busses
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Large-Sample Learning of Bayesian Networks is NP-Hard
The Journal of Machine Learning Research
Aggregate operators in probabilistic databases
Journal of the ACM (JACM)
Distributed Computing
On the complexity of fixed parameter clique and dominating set
Theoretical Computer Science
Efficient integration of multihop wireless and wired networks with QoS constraints
IEEE/ACM Transactions on Networking (TON)
Loopback recovery from double-link failures in optical mesh networks
IEEE/ACM Transactions on Networking (TON)
On complexity of single-minded auction
Journal of Computer and System Sciences
Algorithmic aspects of topology control problems for ad hoc networks
Mobile Networks and Applications
Artificial Intelligence Review
Journal of Electronic Testing: Theory and Applications
Learning Local Transductions Is Hard
Journal of Logic, Language and Information
Scheduling in Reentrant Robotic Cells: Algorithms and Complexity
Journal of Scheduling
The Design and Implementation of a Framework for Automatic Modularization of Software Systems
The Journal of Supercomputing
PSPACE-completeness of Modular Supervisory Control Problems
Discrete Event Dynamic Systems
Protein output for DNA computing
Natural Computing: an international journal
Induction of defeasible logic theories in the legal domain
ICAIL '03 Proceedings of the 9th international conference on Artificial intelligence and law
Mining and Reasoning on Workflows
IEEE Transactions on Knowledge and Data Engineering
Efficient Relational Calculation for Software Analysis
IEEE Transactions on Software Engineering
Scheduling of Soft Real-Time Systems for Context-Aware Applications
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
An Approximation Algorithm for Energy-Efficient Scheduling on A Chip Multiprocessor
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Design of Fault-Tolerant and Dynamically-Reconfigurable Microfluidic Biochips
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
Maneuverable Relays to Improve Energy Efficiency in Sensor Networks
PERCOMW '05 Proceedings of the Third IEEE International Conference on Pervasive Computing and Communications Workshops
The Potential of Computation Regrouping for Improving Locality
Proceedings of the 2004 ACM/IEEE conference on Supercomputing
Analysis of business process and capability hypergraphs
CompSysTech '04 Proceedings of the 5th international conference on Computer systems and technologies
New Complexity Results on Array Contraction and Related Problems
Journal of VLSI Signal Processing Systems
On the Internet Delay-Based Clustering
ANSS '05 Proceedings of the 38th annual Symposium on Simulation
An efficient quality of service routing algorithm for delay-sensitive applications
Computer Networks: The International Journal of Computer and Telecommunications Networking
A provable better Branch and Bound method for a nonconvex integer quadratic programming problem
Journal of Computer and System Sciences
Mission-critical management of mobile sensors: or, how to guide a flock of sensors
DMSN '04 Proceeedings of the 1st international workshop on Data management for sensor networks: in conjunction with VLDB 2004
Computers and Operations Research
Mixed binary integer programming formulations for the reentrant job shop scheduling problem
Computers and Operations Research
On the Complexity of Deduction Modulo Leaf Permutative Equations
Journal of Automated Reasoning
Guest Column: NP-complete problems and physical reality
ACM SIGACT News
Modeling and assessing inference exposure in encrypted databases
ACM Transactions on Information and System Security (TISSEC)
Optimal Skewed Data Allocation on Multiple Channels with Flat Broadcast per Channel
IEEE Transactions on Computers
Dynamic Load Distribution in the Borealis Stream Processor
ICDE '05 Proceedings of the 21st International Conference on Data Engineering
Knowledge Discovery from Transportation Network Data
ICDE '05 Proceedings of the 21st International Conference on Data Engineering
A Distributed Chained Lin-Kernighan Algorithm for TSP Problems
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers - Volume 01
Broadcast Trees for Heterogeneous Platforms
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers - Volume 01
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 3 - Volume 04
Job Scheduling for Grid Computing on Metacomputers
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 4 - Volume 05
Possibilities to Solve the Clique Problem by Thread Parallelism using Task Pools
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 5 - Volume 06
Dynamic Task Scheduling using Genetic Algorithms for Heterogeneous Distributed Computing
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 6 - Volume 07
Dynamic Critical Path Scheduling Parallel Programs onto Multiprocessors
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 8 - Volume 09
On Counting Fixed Point Configurations in Star Networks
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 8 - Volume 09
Maximizing the Lifetime of Dominating Sets
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 12 - Volume 13
A Method for MPI Broadcast in Computational Grids
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 13 - Volume 14
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 14 - Volume 15
Fast and accurate rectilinear steiner minimal tree algorithm for VLSI design
Proceedings of the 2005 international symposium on Physical design
Computational complexity of itemset frequency satisfiability
PODS '04 Proceedings of the twenty-third ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Artificial Intelligence Review
Chips on wafers, or packing rectangles into grids
Computational Geometry: Theory and Applications - Special issue on the 19th European workshop on computational geometry - EuroCG 03
Energy efficient communication in ad hoc networks from user's and designer's perspective
ACM SIGMOBILE Mobile Computing and Communications Review
Consensus algorithms for the generation of all maximal bicliques
Discrete Applied Mathematics - The fourth international colloquium on graphs and optimisation (GO-IV)
Sequencing by hybridization with isothermic oligonucleotide libraries
Discrete Applied Mathematics - The fourth international colloquium on graphs and optimisation (GO-IV)
Block linear majorants in quadratic 0-1 optimization
Discrete Applied Mathematics - The fourth international colloquium on graphs and optimisation (GO-IV)
Variable neighborhood search for the maximum clique
Discrete Applied Mathematics - The fourth international colloquium on graphs and optimisation (GO-IV)
Computing the branchwidth of interval graphs
Discrete Applied Mathematics - Structural decompositions, width parameters, and graph labelings (DAS 5)
Coloured Tutte polynomials and Kauffman brackets for graphs of bounded tree width
Discrete Applied Mathematics - Structural decompositions, width parameters, and graph labelings (DAS 5)
The restrictive H-coloring problem
Discrete Applied Mathematics - Structural decompositions, width parameters, and graph labelings (DAS 5)
Robotic cell scheduling with operational flexibility
Discrete Applied Mathematics
On solving univariate sparse polynomials in logarithmic time
Journal of Complexity - Special issue: Foundations of computational mathematics 2002 workshops
QCA channel routing with wire crossing minimization
GLSVLSI '05 Proceedings of the 15th ACM Great Lakes symposium on VLSI
Scheduling trees with large communication delays on two identical processors
Journal of Scheduling
An Analysis of Totally Clairvoyant Scheduling
Journal of Scheduling
Construction of a Maximum Stable Set with $k$-Extensions
Combinatorics, Probability and Computing
Interactive and Probabilistic Proof of Mobile Code Safety
Automated Software Engineering
An integrated experimental environment for distributed systems and networks
OSDI '02 Proceedings of the 5th symposium on Operating systems design and implementationCopyright restrictions prevent ACM from being able to make the PDFs for this conference available for downloading
Beyond NP: the work and legacy of Larry Stockmeyer
Proceedings of the thirty-seventh annual ACM symposium on Theory of computing
Multicommodity flow, well-linked terminals, and routing problems
Proceedings of the thirty-seventh annual ACM symposium on Theory of computing
Universal approximations for TSP, Steiner tree, and set cover
Proceedings of the thirty-seventh annual ACM symposium on Theory of computing
Proceedings of the thirty-seventh annual ACM symposium on Theory of computing
Communications of the ACM - Adaptive complex enterprises
Three-level caching for efficient query processing in large Web search engines
WWW '05 Proceedings of the 14th international conference on World Wide Web
WWW '05 Proceedings of the 14th international conference on World Wide Web
Concise descriptions of subsets of structured sets
ACM Transactions on Database Systems (TODS) - Special Issue: SIGMOD/PODS 2003
New Single Machine and Job-Shop Scheduling Problems with Availability Constraints
Journal of Scheduling
The helly property on subfamilies of limited size
Information Processing Letters
Note on the homogeneous set sandwich problem
Information Processing Letters
UPS-k: a set partitioning problem with applications in UPS pickup-delivery system
Information Processing Letters
Approximately-strategyproof and tractable multiunit auctions
Decision Support Systems - Special issue: The fourth ACM conference on electronic commerce
New meta-heuristic for combinatorial optimization problems: intersection based scaling
Journal of Computer Science and Technology
Optimal parallel algorithm for the knapsack problem without memory conflicts
Journal of Computer Science and Technology
Algorithm based on taboo search and shifting bottleneck for job shop scheduling
Journal of Computer Science and Technology
Test Problem Generator for the Multidimensional Assignment Problem
Computational Optimization and Applications
Characterizing achievable multicast rates in multi-hop wireless networks
Proceedings of the 6th ACM international symposium on Mobile ad hoc networking and computing
Minimum energy reliable paths using unreliable wireless links
Proceedings of the 6th ACM international symposium on Mobile ad hoc networking and computing
Some remarks on Hajós' conjecture
Journal of Combinatorial Theory Series B
An effective hybrid algorithm for the problem of packing circles into a larger containing circle
Computers and Operations Research
A survey of combinatorial optimization problems in multicast routing
Computers and Operations Research
A solution to the unequal area facilities layout problem by genetic algorithm
Computers in Industry - Special issue: Application of genetics algorithms in industry
Pattern Recognition Letters - Special issue: In memoriam Azriel Rosenfeld
The parameter-less genetic algorithm in practice
Information Sciences—Informatics and Computer Science: An International Journal
Heuristics for the Maximum Outerplanar Subgraph Problem
Journal of Heuristics
Network file storage with graceful performance degradation
ACM Transactions on Storage (TOS)
Partitioning and placement for buildable QCA circuits
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Proceedings of the 6th ACM conference on Electronic commerce
A greedy approximation for minimum connected dominating sets
Theoretical Computer Science
Operator placement for in-network stream query processing
Proceedings of the twenty-fourth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Unified high-level synthesis and module placement for defect-tolerant microfluidic biochips
Proceedings of the 42nd annual Design Automation Conference
When do bounds and domain propagation lead to the same search space?
ACM Transactions on Programming Languages and Systems (TOPLAS)
A dictionary construction technique for code compression systems with echo instructions
LCTES '05 Proceedings of the 2005 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Beyond proof-of-compliance: security analysis in trust management
Journal of the ACM (JACM)
Substructure similarity search in graph databases
Proceedings of the 2005 ACM SIGMOD international conference on Management of data
Mechanism design for software agents with complete information
Decision Support Systems - Special issue: Decision theory and game theory in agent design
Achieving near-optimal traffic engineering solutions for current OSPF/IS-IS networks
IEEE/ACM Transactions on Networking (TON)
An approximation algorithm for haplotype inference by maximum parsimony
Proceedings of the 2005 ACM symposium on Applied computing
Finding an optimum edit script between an XML document and a DTD
Proceedings of the 2005 ACM symposium on Applied computing
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Greedy, genetic, and greedy genetic algorithms for the quadratic knapsack problem
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
An evolutionary lagrangian method for the 0/1 multiple knapsack problem
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Hyper-heuristics and classifier systems for solving 2D-regular cutting stock problems
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Advanced models of cellular genetic algorithms evaluated on SAT
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Computing the epistasis variance of large-scale traveling salesman problems
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
New topologies for genetic search space
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Incorporating fuzzy knowledge into fitness: multiobjective evolutionary 3D design of process plants
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
A Tree Based Router Search Engine Architecture with Single Port Memories
Proceedings of the 32nd annual international symposium on Computer Architecture
Computing equilibria in multi-player games
SODA '05 Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms
Approximating connectivity augmentation problems
SODA '05 Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms
Algorithms for combining rooted triplets into a galled phylogenetic network
SODA '05 Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms
Sharing the cost more efficiently: improved approximation for multicommodity rent-or-buy
SODA '05 Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms
Maximum-likelihood decoding of Reed-Solomon codes is NP-hard
SODA '05 Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms
Partial covering of hypergraphs
SODA '05 Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms
Bidimensionality: new connections between FPT algorithms and PTASs
SODA '05 Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms
Joint Classification and Pairing of Human Chromosomes
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
IEEE Transactions on Computers
Communication Contention in Task Scheduling
IEEE Transactions on Parallel and Distributed Systems
Efficient Assignment and Scheduling for Heterogeneous DSP Systems
IEEE Transactions on Parallel and Distributed Systems
The Complexity of Verifying Memory Coherence and Consistency
IEEE Transactions on Parallel and Distributed Systems
On Optimal Replication of Data Object at Hierarchical and Transparent Web Proxies
IEEE Transactions on Parallel and Distributed Systems
On the Communication Complexity of Multilateral Trading: Extended Report
Autonomous Agents and Multi-Agent Systems
Approaches Based on Permutations for Partitioning Sparse Matrices on Multiprocessors
The Journal of Supercomputing
A faster parameterized algorithm for set packing
Information Processing Letters
A linear time algorithm for the minimum weighted feedback vertex set on diamonds
Information Processing Letters
On Hamiltonian cycles and Hamiltonian paths
Information Processing Letters
Improving the accuracy of measurement-based geographic location of internet hosts
Computer Networks and ISDN Systems
Implementation of recursive search algorithms in reconfigurable hardware
WISICT '05 Proceedings of the 4th international symposium on Information and communication technologies
Fast decoding and optimal decoding for machine translation
ACL '01 Proceedings of the 39th Annual Meeting on Association for Computational Linguistics
Generating minimal definite descriptions
ACL '02 Proceedings of the 40th Annual Meeting on Association for Computational Linguistics
A hybrid approach of genetic algorithms and local optimizers in cell loading
Computers and Industrial Engineering - Special issue: Group technology/cellular manufacturing
Proceedings of the twenty-fourth annual ACM symposium on Principles of distributed computing
Windows scheduling of arbitrary length jobs on parallel machines
Proceedings of the seventeenth annual ACM symposium on Parallelism in algorithms and architectures
Parallel scheduling of complex dags under uncertainty
Proceedings of the seventeenth annual ACM symposium on Parallelism in algorithms and architectures
Value-maximizing deadline scheduling and its application to animation rendering
Proceedings of the seventeenth annual ACM symposium on Parallelism in algorithms and architectures
The complexity of base station positioning in cellular networks
Discrete Applied Mathematics
Balanced vertex-orderings of graphs
Discrete Applied Mathematics
The effect of machine availability on the worst-case performance of LPT
Discrete Applied Mathematics
Conversion of coloring algorithms into maximum weight independent set algorithms
Discrete Applied Mathematics
Cross-layer optimization in TCP/IP networks
IEEE/ACM Transactions on Networking (TON)
Validation of terminological inference in an information extraction task
HLT '93 Proceedings of the workshop on Human Language Technology
Order Scheduling in an Environment with Dedicated Resources in Parallel
Journal of Scheduling
Sequencing and Scheduling in Robotic Cells: Recent Developments
Journal of Scheduling
Maximizing Weighted number of Just-in-Time Jobs on Unrelated Parallel Machines
Journal of Scheduling
Data Mining for Inventory Item Selection with Cross-Selling Considerations
Data Mining and Knowledge Discovery
Pricing multicasting in more flexible network models
ACM Transactions on Algorithms (TALG)
On network design problems: fixed cost flows and the covering steiner problem
ACM Transactions on Algorithms (TALG)
A formal analysis of why heuristic functions work
Artificial Intelligence
MaxSolver: an efficient exact algorithm for (weighted) maximum satisfiability
Artificial Intelligence
Two efficient methods to reduce power and testing time
ISLPED '05 Proceedings of the 2005 international symposium on Low power electronics and design
The complexity of customer order scheduling problems on parallel machines
Computers and Operations Research
Computer Networks and ISDN Systems
Shunting Minimal Rail Car Allocation
Computational Optimization and Applications
ICALT '05 Proceedings of the Fifth IEEE International Conference on Advanced Learning Technologies
Maximum Lifetime Broadcasting in Wireless Networks
IEEE Transactions on Computers
Compiling problem specification into SAT
Artificial Intelligence - Special volume on reformulation
The maximum traveling salesman problem on van der Veen matrices
Discrete Applied Mathematics
A hypocoloring model for batch scheduling
Discrete Applied Mathematics
Approximation algorithms for some vehicle routing problems
Discrete Applied Mathematics
Complexity of the directed spanning cactus problem
Discrete Applied Mathematics
Cross-layer optimization for routing data traffic in UWB-based sensor networks
Proceedings of the 11th annual international conference on Mobile computing and networking
Design space exploration comparing homogeneous and heterogeneous network-on-chip architectures
SBCCI '05 Proceedings of the 18th annual symposium on Integrated circuits and system design
Performance data collection using a hybrid approach
Proceedings of the 10th European software engineering conference held jointly with 13th ACM SIGSOFT international symposium on Foundations of software engineering
On mining cross-graph quasi-cliques
Proceedings of the eleventh ACM SIGKDD international conference on Knowledge discovery in data mining
Building connected neighborhood graphs for isometric data embedding
Proceedings of the eleventh ACM SIGKDD international conference on Knowledge discovery in data mining
Asymmetric k-center is log* n-hard to approximate
Journal of the ACM (JACM)
On packing squares with resource augmentation: maximizing the profit
CATS '05 Proceedings of the 2005 Australasian symposium on Theory of computing - Volume 41
Minimum cost source location problem with local 3-vertex-connectivity requirements
CATS '05 Proceedings of the 2005 Australasian symposium on Theory of computing - Volume 41
Visualisation of satisfiability using the logic engine
APVis '05 proceedings of the 2005 Asia-Pacific symposium on Information visualisation - Volume 45
Measuring precision for static and dynamic design pattern recognition as a function of coverage
WODA '05 Proceedings of the third international workshop on Dynamic analysis
Hippodrome: Running Circles Around Storage Administration
FAST '02 Proceedings of the 1st USENIX Conference on File and Storage Technologies
Appia: Automatic Storage Area Network Fabric Design
FAST '02 Proceedings of the 1st USENIX Conference on File and Storage Technologies
Exploiting Inter-File Access Patterns Using Multi-Collective I/O
FAST '02 Proceedings of the 1st USENIX Conference on File and Storage Technologies
Stack-based algorithms for pattern matching on DAGs
VLDB '05 Proceedings of the 31st international conference on Very large data bases
Checking for k-anonymity violation by views
VLDB '05 Proceedings of the 31st international conference on Very large data bases
Building k Edge-Disjoint Spanning Trees of Minimum Total Length for Isometric Data Embedding
IEEE Transactions on Pattern Analysis and Machine Intelligence
Consensus Genetic Maps: A Graph Theoretic Approach
CSB '05 Proceedings of the 2005 IEEE Computational Systems Bioinformatics Conference
Islands of Tractability for Parsimony Haplotyping
CSB '05 Proceedings of the 2005 IEEE Computational Systems Bioinformatics Conference
On the complexity of decidable cases of the commutation problem of languages
Theoretical Computer Science
A survey on tree edit distance and related problems
Theoretical Computer Science
Generating bicliques of a graph in lexicographic order
Theoretical Computer Science
On approximating minimum vertex cover for graphs with perfect matching
Theoretical Computer Science
On the complexity of typechecking top-down XML transformations
Theoretical Computer Science - Database theory
Computing the maximum agreement of phylogenetic networks
Theoretical Computer Science - Pattern discovery in the post genome
Polynomial and APX-hard cases of the individual haplotyping problem
Theoretical Computer Science - Pattern discovery in the post genome
Logical comparison of inconsistent perspectives using scoring functions
Knowledge and Information Systems
Proceedings of the 5th ACM international conference on Embedded software
Conditions that impact the complexity of QoS routing
IEEE/ACM Transactions on Networking (TON)
IEEE/ACM Transactions on Networking (TON)
Scheduling over a time-varying user-dependent channel with applications to high-speed wireless data
Journal of the ACM (JACM)
MSWiM '05 Proceedings of the 8th ACM international symposium on Modeling, analysis and simulation of wireless and mobile systems
When can a net fold to a polyhedron?
Computational Geometry: Theory and Applications - Special issue: The 11th Candian conference on computational geometry - CCCG 99
Placement of proxy-based multicast overlays
Computer Networks: The International Journal of Computer and Telecommunications Networking
A tabu search algorithm for the routing and capacity assignment problem in computer networks
Computers and Operations Research
On the wireless local area network design problem with performance guarantees
Computer Networks: The International Journal of Computer and Telecommunications Networking
Bounded-Latency Content Distribution: Feasibility and Evaluation
IEEE Transactions on Computers
QoS-Aware Replica Placement for Content Distribution
IEEE Transactions on Parallel and Distributed Systems
Complexity Issues in Automated Synthesis of Failsafe Fault-Tolerance
IEEE Transactions on Dependable and Secure Computing
Cutwidth I: a linear time fixed parameter algorithm
Journal of Algorithms
Cutwidth II: algorithms for partial w-trees of bounded degree
Journal of Algorithms
Lagrangian Smoothing Heuristics for Max-Cut
Journal of Heuristics
Dynamics of Local Search Trajectory in Traveling Salesman Problem
Journal of Heuristics
Conversion of the Steiner Problem on the Euclidean Plane to the Steiner Problem on Graph
Automation and Remote Control
An effective local search for the maximum clique problem
Information Processing Letters
Curve-constrained drawings of planar graphs
Computational Geometry: Theory and Applications
Optimal spanners for axis-aligned rectangles
Computational Geometry: Theory and Applications
Local and global microcode compaction using reduction operators
ACM SIGMICRO Newsletter
A personalization framework for OLAP queries
Proceedings of the 8th ACM international workshop on Data warehousing and OLAP
Contraflow network reconfiguration for evacuation planning: a summary of results
Proceedings of the 13th annual ACM international workshop on Geographic information systems
Hardness of the Undirected Edge-Disjoint Paths Problem with Congestion
FOCS '05 Proceedings of the 46th Annual IEEE Symposium on Foundations of Computer Science
Additive Approximation for Edge-Deletion Problems
FOCS '05 Proceedings of the 46th Annual IEEE Symposium on Foundations of Computer Science
Computing the minimum DNF representation of boolean functions defined by intervals
Discrete Applied Mathematics - Special issue: Boolean and pseudo-boolean funtions
A formal characterization of PIVOT/UNPIVOT
Proceedings of the 14th ACM international conference on Information and knowledge management
Automatic Thread Extraction with Decoupled Software Pipelining
Proceedings of the 38th annual IEEE/ACM International Symposium on Microarchitecture
The Effect of the Specification Model on the Complexity of Adding Masking Fault Tolerance
IEEE Transactions on Dependable and Secure Computing
A Technique for Adaptive Scheduling of Soft Real-Time Tasks
Real-Time Systems
Subexponential parameterized algorithms on bounded-genus graphs and H-minor-free graphs
Journal of the ACM (JACM)
Proceedings of the 20th IEEE/ACM international Conference on Automated software engineering
Automatic placement of authorization hooks in the linux security modules framework
Proceedings of the 12th ACM conference on Computer and communications security
Finding Frequent Patterns in a Large Sparse Graph*
Data Mining and Knowledge Discovery
ACM Transactions on Algorithms (TALG)
Scheduling functional regression tests for IBM DB2 products
CASCON '05 Proceedings of the 2005 conference of the Centre for Advanced Studies on Collaborative research
Distance-Based Detection and Prediction of Outliers
IEEE Transactions on Knowledge and Data Engineering
Framework for Task Scheduling in Heterogeneous Distributed Computing Using Genetic Algorithms
Artificial Intelligence Review
UML formalization is a traceability problem
TEFSE '05 Proceedings of the 3rd international workshop on Traceability in emerging forms of software engineering
Complexity of integer quasiconvex polynomial optimization
Journal of Complexity - Festschrift for the 70th birthday of Arnold Schönhage
Two situations with unit-cost: ordered abelian semi-groups and some commutative rings
Journal of Complexity - Festschrift for the 70th birthday of Arnold Schönhage
On Dependency Graphs and the Lattice Gas
Combinatorics, Probability and Computing
A concept analysis inspired greedy algorithm for test suite minimization
PASTE '05 Proceedings of the 6th ACM SIGPLAN-SIGSOFT workshop on Program analysis for software tools and engineering
Constructive Genetic Algorithm for Clustering Problems
Evolutionary Computation
Fitness Landscapes, Memetic Algorithms, and Greedy Operators for Graph Bipartitioning
Evolutionary Computation
Evolving Evolutionary Algorithms Using Linear Genetic Programming
Evolutionary Computation
Two Machine Scheduling under Disruptions with Transportation Considerations
Journal of Scheduling
Approximation Algorithms for Extensible Bin Packing
Journal of Scheduling
RGFGA: An Efficient Representation and Crossover for Grouping Genetic Algorithms
Evolutionary Computation
Measure and conquer: a simple O(20.288n) independent set algorithm
SODA '06 Proceedings of the seventeenth annual ACM-SIAM symposium on Discrete algorithm
Finding nucleolus of flow game
SODA '06 Proceedings of the seventeenth annual ACM-SIAM symposium on Discrete algorithm
Superiority and complexity of the spaced seeds
SODA '06 Proceedings of the seventeenth annual ACM-SIAM symposium on Discrete algorithm
Four point conditions and exponential neighborhoods for symmetric TSP
SODA '06 Proceedings of the seventeenth annual ACM-SIAM symposium on Discrete algorithm
Improved lower and upper bounds for universal TSP in planar metrics
SODA '06 Proceedings of the seventeenth annual ACM-SIAM symposium on Discrete algorithm
FPTAS for mixed-integer polynomial optimization with a fixed number of variables
SODA '06 Proceedings of the seventeenth annual ACM-SIAM symposium on Discrete algorithm
Max-tolerance graphs as intersection graphs: cliques, cycles, and recognition
SODA '06 Proceedings of the seventeenth annual ACM-SIAM symposium on Discrete algorithm
Query-efficient algorithms for polynomial interpolation over composites
SODA '06 Proceedings of the seventeenth annual ACM-SIAM symposium on Discrete algorithm
Single-minded unlimited supply pricing on sparse instances
SODA '06 Proceedings of the seventeenth annual ACM-SIAM symposium on Discrete algorithm
SEFM '05 Proceedings of the Third IEEE International Conference on Software Engineering and Formal Methods
Maplets for correspondence-based object recognition
Neural Networks - 2004 Special issue: New developments in self-organizing systems
Efficient management for large-scale flash-memory storage systems with resource conservation
ACM Transactions on Storage (TOS)
Multi-Objective Genetic Algorithms for Vehicle Routing Problem with Time Windows
Applied Intelligence
Automated Design and Programming of a Microfluidic DNA Computer
Natural Computing: an international journal
Architectural-level synthesis of digital microfluidics-based biochips
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Leakage control through fine-grained placement and sizing of sleep transistors
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Frugal linear network-based test decompression for drastic test cost reductions
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Many-to-Many Disjoint Path Covers in Hypercube-Like Interconnection Networks with Faulty Elements
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Optimizing Cyclic Join View Maintenance over Distributed Data Sources
IEEE Transactions on Knowledge and Data Engineering
The labeled perfect matching in bipartite graphs
Information Processing Letters
Multiple crossdocks with inventory and time windows
Computers and Operations Research
Quickly finding near-optimal storage designs
ACM Transactions on Computer Systems (TOCS)
A Short Proof that Phylogenetic Tree Reconstruction by Maximum Likelihood Is Hard
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
A differentiation-enabled Fortran 95 compiler
ACM Transactions on Mathematical Software (TOMS)
Using Packet Scheduling to Enhance I-Cache Behavior of Protocol Processing
HPCASIA '05 Proceedings of the Eighth International Conference on High-Performance Computing in Asia-Pacific Region
A linear time algorithm for approximate 2-means clustering
Computational Geometry: Theory and Applications
Complexity and stochastic evolution of dyadic networks
Computers and Operations Research
Computers and Operations Research
New tractable classes for default reasoning from conditional knowledge bases
Annals of Mathematics and Artificial Intelligence
Probabilistic logic under coherence: complexity and algorithms
Annals of Mathematics and Artificial Intelligence
A meta-algorithm for the generation of referring expressions
EWNLG '01 Proceedings of the 8th European workshop on Natural Language Generation - Volume 8
SAT-based optimal hypergraph partitioning with replication
ASP-DAC '06 Proceedings of the 2006 Asia and South Pacific Design Automation Conference
Loading Deep Networks Is Hard: The Pyramidal Case
Neural Computation
On the Nonlearnability of a Single Spiking Neuron
Neural Computation
Typing abstractions and management in a component framework
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
A technology mapping algorithm for heterogeneous FPGAs
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
Energy-aware mapping for tile-based NoC architectures under performance constraints
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
Target tracking with distributed sensors: the focus of attention problem
Computer Vision and Image Understanding - Special issue: Attention and performance in computer vision
On the Sum-of-Squares algorithm for bin packing
Journal of the ACM (JACM)
Decomposition of integer matrices and multileaf collimator sequencing
Discrete Applied Mathematics
ACM SIGecom Exchanges
Mapping and physical planning of networks-on-chip architectures with quality-of-service guarantees
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Hierarchical task scheduler for interleaving subtasks on heterogeneous multiprocessor platforms
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Hardware/software partitioning for platform-based design method
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Coordinating Self-interested Planning Agents
Autonomous Agents and Multi-Agent Systems
A Constraint Programming Approach to Extract the Maximum Number of Non-Overlapping Test Forms
Computational Optimization and Applications
Justification as truth-finding efficiency: how Ockham's Razor works
Minds and Machines - Machine learning as experimental philosophy of science
Replicator Equations, Maximal Cliques, and Graph Isomorphism
Neural Computation
ACM-SE 33 Proceedings of the 33rd annual on Southeast regional conference
Journal of VLSI Signal Processing Systems
The existential theory of equations with rational constraints in free groups is PSPACE-complete
Information and Computation
Dynamic mapping of cooperating tasks to nodes in a distributed system
Future Generation Computer Systems
MA|PM: memetic algorithms with population management
Computers and Operations Research
Scheduling two-stage hybrid flow shop with availability constraints
Computers and Operations Research
The minimum generalized vertex cover problem
ACM Transactions on Algorithms (TALG)
Content-based retrieval of 3D models
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
Vertex covering by paths on trees with its applications in machine translation
Information Processing Letters
On the complexity of unfrozen problems
Discrete Applied Mathematics - Special issue: Typical case complexity and phase transitions
Probabilistic Delay Budgeting for Soft Realtime Applications
ISQED '06 Proceedings of the 7th International Symposium on Quality Electronic Design
Finding optimal satisficing strategies for and-or trees
Artificial Intelligence
Design of statistical information media: time performance and storage constraints
SSDBM'1988 Proceedings of the 4th international conference on Statistical and Scientific Database Management
A model of summary data and its applications in statistical databases
SSDBM'1988 Proceedings of the 4th international conference on Statistical and Scientific Database Management
NP-completeness for all computer science undergraduates: a novel project-based curriculum
Journal of Computing Sciences in Colleges
Evaluating the Rank Generating Function of a Graphic 2-Polymatroid
Combinatorics, Probability and Computing
The Complexity of Counting Colourings of Subgraphs of the Grid
Combinatorics, Probability and Computing
Exploring an information-based approach to computation and computational complexity
ACM-SE 38 Proceedings of the 38th annual on Southeast regional conference
On the complexity of sign-nonsingularity and equal unions of sets
ACM-SE 38 Proceedings of the 38th annual on Southeast regional conference
Data gathering tours in sensor networks
Proceedings of the 5th international conference on Information processing in sensor networks
Proceedings of the 5th international conference on Information processing in sensor networks
On finding the minimum test set of a BDD-based circuit
GLSVLSI '06 Proceedings of the 16th ACM Great Lakes symposium on VLSI
Load-Balanced Short-Path Routing in Wireless Networks
IEEE Transactions on Parallel and Distributed Systems
Building k-Connected Neighborhood Graphs for Isometric Data Embedding
IEEE Transactions on Pattern Analysis and Machine Intelligence
Eliminating wire crossings for molecular quantum-dot cellular automata implementation
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Approximation Algorithms for Multiconstrained Quality-of-Service Routing
IEEE Transactions on Computers
Optimizing the Length of Checking Sequences
IEEE Transactions on Computers
A Generic Library of Problem Solving Methods for Scheduling Applications
IEEE Transactions on Knowledge and Data Engineering
Power-constrained test scheduling for multi-clock domain SoCs
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Multiprocessor synthesis for periodic hard real-time tasks under a given energy constraint
Proceedings of the conference on Design, automation and test in Europe: Proceedings
On the relation between simulation-based and SAT-based diagnosis
Proceedings of the conference on Design, automation and test in Europe: Proceedings
A greedy approximation algorithm for the group Steiner problem
Discrete Applied Mathematics
Using graphs for some discrete tomography problems
Discrete Applied Mathematics
Solving the path cover problem on circular-arc graphs by using an approximation algorithm
Discrete Applied Mathematics
A Hybrid Metaheuristic for the Quadratic Assignment Problem
Computational Optimization and Applications
A logic of soft constraints based on partially ordered preferences
Journal of Heuristics
Lot-sizing scheduling with batch setup times
Journal of Scheduling
The task assignment problem for unrestricted movement between workstation groups
Journal of Scheduling
A quasi-polynomial time approximation scheme for minimum weight triangulation
Proceedings of the thirty-eighth annual ACM symposium on Theory of computing
Simple cost sharing schemes for multicommodity rent-or-buy and stochastic Steiner tree
Proceedings of the thirty-eighth annual ACM symposium on Theory of computing
Efficiently computing succinct trade-off curves
Theoretical Computer Science - Automata, languages and programming: Algorithms and complexity (ICALP-A 2004)
Equitable colorings of bounded treewidth graphs
Theoretical Computer Science - Graph colorings
A complete complexity classification of the role assignment problem
Theoretical Computer Science - Graph colorings
Energy conservation via domatic partitions
Proceedings of the 7th ACM international symposium on Mobile ad hoc networking and computing
Constraint generation for separation of duty
Proceedings of the eleventh ACM symposium on Access control models and technologies
Reconciling a gene tree to a species tree under the duplication cost model
Theoretical Computer Science
On the relationship between NLC-width and linear NLC-width
Theoretical Computer Science
Resource bounded immunity and simplicity
Theoretical Computer Science
Survivable virtual concatenation for data over SONET/SDH in optical transport networks
IEEE/ACM Transactions on Networking (TON)
Weak nonmonotonic probabilistic logics
Artificial Intelligence
A Bayesian approach to diagram matching with application to architectural models
Proceedings of the 28th international conference on Software engineering
A sufficient condition for truthfulness with single parameter agents
EC '06 Proceedings of the 7th ACM conference on Electronic commerce
Multi-attribute coalitional games
EC '06 Proceedings of the 7th ACM conference on Electronic commerce
A Scalable Architecture For High-Throughput Regular-Expression Pattern Matching
Proceedings of the 33rd annual international symposium on Computer Architecture
Minimum-Flip Supertrees: Complexity and Algorithms
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Advanced AI search techniques in modern digital circuit synthesis
Artificial intelligence in logic design
Minimum weight triangulation is NP-hard
Proceedings of the twenty-second annual symposium on Computational geometry
Partitioning and placement for buildable QCA circuits
Nano, quantum and molecular computing
Structural component composition for system-level models
Formal methods and models for system design
Ant-based survivable routing in dynamic WDM networks with shared backup paths
The Journal of Supercomputing
Journal of Automata, Languages and Combinatorics
Adherence clustering: an efficient method for mining market-basket clusters
Information Systems
An effective approach for test-sheet composition with large-scale item banks
Computers & Education
Semidefinite programming based approaches to the break minimization problem
Computers and Operations Research
Computers and Operations Research
An exact method for graph coloring
Computers and Operations Research
A new branch-&-bound-enhanced genetic algorithm for the manufacturing cell formation problem
Computers and Operations Research
Generation of classes of robust periodic railway timetables
Computers and Operations Research
Permutation flowshop scheduling problems with maximal and minimal time lags
Computers and Operations Research
The capacitated centred clustering problem
Computers and Operations Research
Ant colony optimization for the cell assignment problem in PCS networks
Computers and Operations Research
Savings based ant colony optimization for the capacitated minimum spanning tree problem
Computers and Operations Research
Stereo and motion correspondences using nonlinear optimization method
Computer Vision and Image Understanding
Simulative Analysis of Access Selection Algorithms for Multi-Access Networks
WOWMOM '06 Proceedings of the 2006 International Symposium on on World of Wireless, Mobile and Multimedia Networks
Approximations for minimum and min-max vehicle routing problems
Journal of Algorithms
Modular strategies for recursive game graphs
Theoretical Computer Science - Tools and algorithms for the construction and analysis of systems (TACAS 2003)
Efficiently covering complex networks with cliques of similar vertices
Theoretical Computer Science - Complex networks
Journal of Algorithms
Chordless paths through three vertices
Theoretical Computer Science - Parameterized and exact computation
Moving policies in cyclic assembly line scheduling
Theoretical Computer Science - Parameterized and exact computation
Backtracking games and inflationary fixed points
Theoretical Computer Science - Automata, languages and programming: Logic and semantics (ICALP-B 2004)
Maximizing agreements and coagnostic learning
Theoretical Computer Science - Algorithmic learning theory(ALT 2002)
Theoretical Computer Science - Game theory meets theoretical computer science
Structure and complexity of extreme Nash equilibria
Theoretical Computer Science - Game theory meets theoretical computer science
Games for complexity of second-order call-by-name programs
Theoretical Computer Science - Game theory meets theoretical computer science
Scheduling tasks sharing files on heterogeneous master-slave platforms
Journal of Systems Architecture: the EUROMICRO Journal - Special issue: Parallel, distributed and network-based processing
On the relocation problem with a second working crew for resource recycling
International Journal of Systems Science
Using Lagrangian dual information to generate degree constrained spanning trees
Discrete Applied Mathematics - Special issue: IV ALIO/EURO workshop on applied combinatorial optimization
Computational complexity of isothermic DNA sequencing by hybridization
Discrete Applied Mathematics - Special issue: IV ALIO/EURO workshop on applied combinatorial optimization
Multiprocessor scheduling under precedence constraints: polyhedral results
Discrete Applied Mathematics - Special issue: IV ALIO/EURO workshop on applied combinatorial optimization
Discrete Applied Mathematics - Special issue: Efficient algorithms
Path problems in generalized stars, complete graphs, and brick wall graphs
Discrete Applied Mathematics - Special issue: Efficient algorithms
The complexity of maximum matroid-greedoid intersection and weighted greedoid maximization
Discrete Applied Mathematics - Special issue: Efficient algorithms
On the probabilistic minimum coloring and minimum k-coloring
Discrete Applied Mathematics
A hybrid heuristic to reduce the number of different patterns in cutting stock problems
Computers and Operations Research - Anniversary focused issue of computers & operations research on tabu search
Computational Geometry: Theory and Applications - Special issue on the Japan conference on discrete and computational geometry 2004
Cost-optimal design of VoIP networks using the VPN concept
Computer Networks: The International Journal of Computer and Telecommunications Networking
A-Brain: the multiple problems solver
Proceedings of the 2006 ACM symposium on Applied computing
Ordering the attributes of query results
Proceedings of the 2006 ACM SIGMOD international conference on Management of data
Variations of the maximum leaf spanning tree problem for bipartite graphs
Information Processing Letters
Parameterized power domination complexity
Information Processing Letters
Mathematics and Computers in Simulation - Special issue: Computational engineering in systems applications (CESA 2003)
Satgraphs and independent domination: part 1
Theoretical Computer Science
Independent domination in hereditary classes
Theoretical Computer Science
System level design paradigms: Platform-based design and communication synthesis
Proceedings of the 41st annual Design Automation Conference
Decomposition of planning problems
AI Communications
Algorithms for IP network design with end-to-end QoS constraints
Computer Networks: The International Journal of Computer and Telecommunications Networking - Selected papers from the 3rd international workshop on QoS in multiservice IP networks (QoS-IP 2005)
Independent set of intersection graphs of convex objects in 2D
Computational Geometry: Theory and Applications
Mining market data: a network approach
Computers and Operations Research
Cross-facility management of production and transportation planning problem
Computers and Operations Research
A hybrid setup for a hybrid scenario: combining heuristics for the home health care problem
Computers and Operations Research
An effective hybrid genetic algorithm for flow shop scheduling with limited buffers
Computers and Operations Research
On the independent dominating set polytope
European Journal of Combinatorics
Self-adapting numerical software (SANS) effort
IBM Journal of Research and Development
Efficient timed model checking for discrete-time systems
Theoretical Computer Science
Energy efficient real-time data aggregation in wireless sensor networks
Proceedings of the 2006 international conference on Wireless communications and mobile computing
An ant-based algorithm for finding degree-constrained minimum spanning tree
Proceedings of the 8th annual conference on Genetic and evolutionary computation
A GA-based method to produce generalized hyper-heuristics for the 2D-regular cutting stock problem
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Properties of symmetric fitness functions
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Multi-attractor gene reordering for graph bisection
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Geometric crossover for multiway graph partitioning
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Evolutionary search for optimal combinations of markers in clothing manufacturing
Proceedings of the 8th annual conference on Genetic and evolutionary computation
A novel approach to optimize clone refactoring activity
Proceedings of the 8th annual conference on Genetic and evolutionary computation
An annotated bibliography of combinatorial optimization problems with fixed cardinality constraints
Discrete Applied Mathematics - Special issue: 2nd cologne/twente workshop on graphs and combinatorial optimization (CTW 2003)
The satisfactory partition problem
Discrete Applied Mathematics
Polyhedral Analysis for Concentrator Location Problems
Computational Optimization and Applications
Semantics of roundoff error propagation in finite precision calculations
Higher-Order and Symbolic Computation
Dynamic state restoration using versioning exceptions
Higher-Order and Symbolic Computation
The hardness of cache conscious data placement
Nordic Journal of Computing
Reducing to independent set structure: the case of k-internal spanning tree
Nordic Journal of Computing
Constant-time distributed dominating set approximation
Distributed Computing
TimeAware test suite prioritization
Proceedings of the 2006 international symposium on Software testing and analysis
On the complexity of distributed graph coloring
Proceedings of the twenty-fifth annual ACM symposium on Principles of distributed computing
On the topologies formed by selfish peers
Proceedings of the twenty-fifth annual ACM symposium on Principles of distributed computing
Approximating the minimum number of maximum power users in ad hoc networks
Mobile Networks and Applications
Equilibria in topology control games for ad hoc networks
Mobile Networks and Applications
Automated reformulation of specifications by safe delay of constraints
Artificial Intelligence
Maximizing system lifetime in wireless sensor networks
IPSN '05 Proceedings of the 4th international symposium on Information processing in sensor networks
The sensor selection problem for bounded uncertainty sensing models
IPSN '05 Proceedings of the 4th international symposium on Information processing in sensor networks
On the price of heterogeneity in parallel systems
Proceedings of the eighteenth annual ACM symposium on Parallelism in algorithms and architectures
Power-aware scheduling for makespan and flow
Proceedings of the eighteenth annual ACM symposium on Parallelism in algorithms and architectures
Playing push vs pull: models and algorithms for disseminating dynamic data in networks
Proceedings of the eighteenth annual ACM symposium on Parallelism in algorithms and architectures
SIGIR '06 Proceedings of the 29th annual international ACM SIGIR conference on Research and development in information retrieval
On the computational complexity of coalitional resource games
Artificial Intelligence
A solvable case of image reconstruction in discrete tomography
Discrete Applied Mathematics
On achieving maximum multicast throughput in undirected networks
IEEE/ACM Transactions on Networking (TON) - Special issue on networking and information theory
Statistical location detection with sensor networks
IEEE/ACM Transactions on Networking (TON) - Special issue on networking and information theory
IEEE/ACM Transactions on Networking (TON) - Special issue on networking and information theory
Linear-programming-based techniques for synthesis of network-on-chip architectures
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Scheduling workflow applications on processors with different capabilities
Future Generation Computer Systems - Collaborative and learning applications of grid technology
Bin packing problems with rejection penalties and their dual problems
Information and Computation
Complexity aspects of generalized Helly hypergraphs
Information Processing Letters
Scheduling parallel jobs to minimize the makespan
Journal of Scheduling
A case study of mutual routing-scheduling reformulation
Journal of Scheduling
An effective hybrid algorithm for university course timetabling
Journal of Scheduling
ACM Transactions on Algorithms (TALG)
Minimizing mean flow time for UET tasks
ACM Transactions on Algorithms (TALG)
Frequent subgraph mining in outerplanar graphs
Proceedings of the 12th ACM SIGKDD international conference on Knowledge discovery and data mining
Measuring and extracting proximity in networks
Proceedings of the 12th ACM SIGKDD international conference on Knowledge discovery and data mining
GPLAG: detection of software plagiarism by program dependence graph analysis
Proceedings of the 12th ACM SIGKDD international conference on Knowledge discovery and data mining
Impact of interference on multi-hop wireless network performance
Wireless Networks - Special issue: Selected papers from ACM MobiCom 2003
On the design problem of cellular wireless networks
Wireless Networks - Special issue: Selected papers from ACM MobiCom 2003
On the severity of Braess's paradox: designing networks for selfish users is hard
Journal of Computer and System Sciences - Special issue on FOCS 2001
Crossing number is hard for cubic graphs
Journal of Combinatorial Theory Series B
Experimental analysis of approximation algorithms for the vertex cover and set covering problems
Computers and Operations Research
Pre-processing methodology for optimizing stereolithography apparatus build performance
Computers in Industry
ACM Transactions on Embedded Computing Systems (TECS)
Journal of Intelligent Information Systems
On the security of stepwise triangular systems
Designs, Codes and Cryptography
Manufacturing opaque predicates in distributed systems for code obfuscation
ACSC '06 Proceedings of the 29th Australasian Computer Science Conference - Volume 48
On the complexity of the DNA simplified partial digest problem
CATS '06 Proceedings of the 12th Computing: The Australasian Theroy Symposium - Volume 51
Coordinated perspectives and enhanced force-directed layout for the analysis of network motifs
APVis '06 Proceedings of the 2006 Asia-Pacific Symposium on Information Visualisation - Volume 60
New grid scheduling and rescheduling methods in the GrADS project
International Journal of Parallel Programming - Special issue: The next generation software program
Crosstalk-aware routing resource assignment
Journal of Computer Science and Technology
GASAT: a genetic local search algorithm for the satisfiability problem
Evolutionary Computation
Islands of Tractability for Parsimony Haplotyping
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
A Local Coefficient Based Load Sensitive Routing Protocol for Providing QoS
ICPADS '06 Proceedings of the 12th International Conference on Parallel and Distributed Systems - Volume 1
Replicated Server Placement with QoS Constraints
IEEE Transactions on Parallel and Distributed Systems
Messages Scheduling for Parallel Data Redistribution between Clusters
IEEE Transactions on Parallel and Distributed Systems
Proceedings of the 2006 ACM/IEEE international symposium on Empirical software engineering
Energy-efficient broadcasting in all-wireless networks
Wireless Networks
On solving permutation scheduling problems with ant colony optimization
International Journal of Systems Science
AAMAS '06 Proceedings of the fifth international joint conference on Autonomous agents and multiagent systems
Data embedding techniques and applications
Proceedings of the 2nd international workshop on Computer vision meets databases
Lambek calculus is NP-complete
Theoretical Computer Science - Clifford lectures and the mathematical foundations of programming semantics
Journal of Parallel and Distributed Computing - Special issue on parallel bioinspired algorithms
A probabilistic scheduling heuristic for computational grids
Multiagent and Grid Systems
Prediction-hardness of acyclic conjunctive queries
Theoretical Computer Science - Algorithmic learning theory (ALT 2000)
On approximate learning by multi-layered feedforward circuits
Theoretical Computer Science - Algorithmic learning theory (ALT 2000)
The computational complexity of component selection in simulation reuse
WSC '05 Proceedings of the 37th conference on Winter simulation
Phase transitions and symmetry breaking in genetic algorithms with crossover
Theoretical Computer Science
Partial digest is hard to solve for erroneous input data
Theoretical Computer Science
An analysis of the LPT algorithm for the max-min and the min-ratio partition problems
Theoretical Computer Science
(p, k)-coloring problems in line graphs
Theoretical Computer Science
Reduction from three-dimensional discrete tomography to multicommodity flow problem
Theoretical Computer Science - In memoriam: Alberto Del Lungo (1965-2003)
Reconstruction of convex polyominoes from orthogonal projections of their contours
Theoretical Computer Science - In memoriam: Alberto Del Lungo (1965-2003)
Journal of Systems and Software
The sandwich problem for cutsets: clique cutset, k-star cutset
Discrete Applied Mathematics - Special issue: Traces of the Latin American conference on combinatorics, graphs and applications: a selection of papers from LACGA 2004, Santiago, Chile
NP-completeness results for edge modification problems
Discrete Applied Mathematics - Special issue: Traces of the Latin American conference on combinatorics, graphs and applications: a selection of papers from LACGA 2004, Santiago, Chile
The complexity of soft constraint satisfaction
Artificial Intelligence
WBSGA: a web-based tool for course timetabling and scheduling
International Journal of Computers and Applications
SBMT: Steiner backup multicast tree
International Journal of Computers and Applications
ACM SIGACT News
Data streams: algorithms and applications
Foundations and Trends® in Theoretical Computer Science
Comparing a genetic algorithm penalty function and repair heuristic in the DSP application domain
AIA'06 Proceedings of the 24th IASTED international conference on Artificial intelligence and applications
Incomplete solution approach for the maximum clique finding in the real time systems
AIA'06 Proceedings of the 24th IASTED international conference on Artificial intelligence and applications
Completeness in approximation classes beyond APX
Theoretical Computer Science
Approximation schemes for scheduling and covering on unrelated machines
Theoretical Computer Science
The conference call search problem in wireless networks
Theoretical Computer Science
A method for the minimum coloring problem using genetic algorithms
MS'06 Proceedings of the 17th IASTED international conference on Modelling and simulation
Upgrading arc problem with budget constraint
Proceedings of the 43rd annual Southeast regional conference - Volume 1
A minimum interference routing algorithm with reduced computational complexity
Computer Networks: The International Journal of Computer and Telecommunications Networking
An approximation algorithm for maximum triangle packing
Discrete Applied Mathematics
On the interval completion of chordal graphs
Discrete Applied Mathematics
Unconditionally secure key assignment schemes
Discrete Applied Mathematics - Special issue: Coding and cryptography
Dynamic data fusion for future sensor networks
ACM Transactions on Sensor Networks (TOSN)
Constructing collaborative desktop storage caches for large scientific datasets
ACM Transactions on Storage (TOS)
Multicollective I/O: A technique for exploiting inter-file access patterns
ACM Transactions on Storage (TOS)
Asymmetry in k-center variants
Theoretical Computer Science - Approximation and online algorithms
Theoretical Computer Science - Approximation and online algorithms
Heuristics-based scheduling of composite web service workloads
Proceedings of the 1st workshop on Middleware for Service Oriented Computing (MW4SOC 2006)
Scalable Design and Implementations for MPI Parallel Overlapping I/O
IEEE Transactions on Parallel and Distributed Systems
Graph Signatures for Visual Analytics
IEEE Transactions on Visualization and Computer Graphics
Scalable subgraph mapping for acyclic computation accelerators
CASES '06 Proceedings of the 2006 international conference on Compilers, architecture and synthesis for embedded systems
Methods and limitations of security policy reconciliation
ACM Transactions on Information and System Security (TISSEC)
Resiliency policies in access control
Proceedings of the 13th ACM conference on Computer and communications security
Beyond separation of duty: an algebra for specifying high-level security policies
Proceedings of the 13th ACM conference on Computer and communications security
Journal of Experimental Algorithmics (JEA)
Approximating interval coloring and max-coloring in chordal graphs
Journal of Experimental Algorithmics (JEA)
Journal of Computing Sciences in Colleges
Compilability of propositional abduction
ACM Transactions on Computational Logic (TOCL)
Faster Algorithms for Optimal Multiple Sequence Alignment Based on Pairwise Comparisons
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Motif Search in Graphs: Application to Metabolic Networks
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Distributed cache table: efficient query-driven processing of multi-term queries in P2P networks
P2PIR '06 Proceedings of the international workshop on Information retrieval in peer-to-peer networks
Annotation propagation revisited for key preserving views
CIKM '06 Proceedings of the 15th ACM international conference on Information and knowledge management
New hardness results for congestion minimization and machine scheduling
Journal of the ACM (JACM)
Theoretical properties of two problems of distribution of interrelated data
Proceedings of the 44th annual Southeast regional conference
Security analysis in role-based access control
ACM Transactions on Information and System Security (TISSEC)
Computers and Operations Research
Computers and Operations Research
Approximation algorithms for optimization problems in graphs with superlogarithmic treewidth
Information Processing Letters
Complexities of some interesting problems on spanning trees
Information Processing Letters
Hierarchical partitioning of VLSI floorplans by staircases
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Proceedings of the 2006 ACM/IEEE conference on Supercomputing
Expressive power of an algebra for data mining
ACM Transactions on Database Systems (TODS)
Feature-based similarity search in graph structures
ACM Transactions on Database Systems (TODS)
Relay Node Placement in Wireless Sensor Networks
IEEE Transactions on Computers
Extracting Actionable Knowledge from Decision Trees
IEEE Transactions on Knowledge and Data Engineering
An incremental algorithm for DLO quantifier elimination via constraint propagation
Artificial Intelligence
The approximability of the weighted Hamiltonian path completion problem on a tree
Theoretical Computer Science
Linear-time algorithms for the Hamiltonian problems on distance-hereditary graphs
Theoretical Computer Science
Theoretical Computer Science
On threshold properties of k-SAT: an additive viewpoint
European Journal of Combinatorics - Special issue on Eurocomb'03 - graphs and combinatorial structures
A new trust region technique for the maximum weight clique problem
Discrete Applied Mathematics - Special issue: International symposium on combinatorial optimization CO'02
Complexity of minimizing the total flow time with interval data and minmax regret criterion
Discrete Applied Mathematics - Special issue: International symposium on combinatorial optimization CO'02
Precomputation for intra-domain QoS routing
Computer Networks and ISDN Systems
Discrete Applied Mathematics - Special issue: Max-algebra
On uniform k-partition problems
Discrete Applied Mathematics - Special issue: Max-algebra
k-Center problems with minimum coverage
Theoretical Computer Science
Finite graph automata for linear and boundary graph languages
Theoretical Computer Science
Designing small keyboards is hard
Theoretical Computer Science
AS relationships: inference and validation
ACM SIGCOMM Computer Communication Review
Computing equilibria for a service provider game with (Im)perfect information
ACM Transactions on Algorithms (TALG)
Wave scheduling and routing in sensor networks
ACM Transactions on Sensor Networks (TOSN)
A survey on knowledge compilation
AI Communications
GRASP with path relinking for the weighted MAXSAT problem
Journal of Experimental Algorithmics (JEA)
Engineering grid applications and middleware for high performance
WOSP '07 Proceedings of the 6th international workshop on Software and performance
Coverage area management for wireless sensor networks
International Journal of Network Management
Computational aspects of mining maximal frequent patterns
Theoretical Computer Science
Scheduling linear deteriorating jobs with an availability constraint on a single machine
Theoretical Computer Science
The maximum resource bin packing problem
Theoretical Computer Science
Multi-agent scheduling on a single machine to minimize total weighted number of tardy jobs
Theoretical Computer Science
Computing phylogenetic roots with bounded degrees and errors is NP-complete
Theoretical Computer Science - Computing and combinatorics
Efficient location area planning for personal communication systems
IEEE/ACM Transactions on Networking (TON)
Computation of arbitrage in frictional bond markets
Theoretical Computer Science - Algorithmic applications in management
IEEE/ACM Transactions on Networking (TON)
A location model for a web service intermediary
Decision Support Systems
Evaluating arrival rate uncertainty in call centers
Proceedings of the 38th conference on Winter simulation
Enumerating consistent metaquery instantiations
AI Communications
Fundamenta Informaticae
Normalized Decision Functions and Measures for Inconsistent Decision Tables Analysis
Fundamenta Informaticae
A Generalization Model Based on OI-implication for Ideal Theory Refinement
Fundamenta Informaticae - Intelligent Systems
Ensembles of Classifiers Based on Approximate Reducts
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P'2000)
Some computational complexity results for synchronous context-free grammars
HLT '05 Proceedings of the conference on Human Language Technology and Empirical Methods in Natural Language Processing
Residual Finite State Automata
Fundamenta Informaticae
Dynamic programming solution to the batching problem in just-in-time flow-shops
Computers and Industrial Engineering
New results on non-perfect sharing of multiple secrets
Journal of Systems and Software
Structuring topologically aware overlay networks using domain names
Computer Networks: The International Journal of Computer and Telecommunications Networking
How to collect balls moving in the Euclidean plane
Discrete Applied Mathematics - Special issue: Discrete algorithms and optimization, in honor of professor Toshihide Ibaraki at his retirement from Kyoto University
The vehicle routing problem with flexible time windows and traveling times
Discrete Applied Mathematics - Special issue: Discrete algorithms and optimization, in honor of professor Toshihide Ibaraki at his retirement from Kyoto University
Discrete Applied Mathematics - Special issue: Discrete algorithms and optimization, in honor of professor Toshihide Ibaraki at his retirement from Kyoto University
An approximation algorithm for the load-balanced semi-matching problem in weighted bipartite graphs
Information Processing Letters
An approach to intrinsic complexity of uniform learning
Theoretical Computer Science - Algorithmic learning theory
A General Framework for Mining Frequent Subgraphs from Labeled Graphs
Fundamenta Informaticae - Advances in Mining Graphs, Trees and Sequences
Frequent Subtree Mining - An Overview
Fundamenta Informaticae - Advances in Mining Graphs, Trees and Sequences
A Genetic Hillclimbing Algorithm for the Optimal Linear Arrangement Problem
Fundamenta Informaticae
On unification for bounded distributive lattices
ACM Transactions on Computational Logic (TOCL)
Computer Networks: The International Journal of Computer and Telecommunications Networking
A network flow approach for static and dynamic traffic grooming in WDM networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Discrete Applied Mathematics
Evolving combinatorial problem instances that are difficult to solve
Evolutionary Computation
An approximation algorithm for fully testable kEP-SOP networks
Proceedings of the 17th ACM Great Lakes symposium on VLSI
Direct static enforcement of high-level security policies
ASIACCS '07 Proceedings of the 2nd ACM symposium on Information, computer and communications security
Compact FPGA implementations of QUAD
ASIACCS '07 Proceedings of the 2nd ACM symposium on Information, computer and communications security
Combining relational algebra, SQL, constraint modelling, and local search
Theory and Practice of Logic Programming
Integrating a real-world scheduling problem into the basic algorithms course
Journal of Computing Sciences in Colleges - Papers of the twelfth annual CCSC Northeastern Conference
The Sturm-Liouville Eigenvalue Problem and NP-Complete Problems in the Quantum Setting with Queries
Quantum Information Processing
The complexity of non-hierarchical clustering with instance and cluster level constraints
Data Mining and Knowledge Discovery
Reducing the cost of applying adaptive test cases
Computer Networks: The International Journal of Computer and Telecommunications Networking
An efficent clustering algorithm for low power clock tree synthesis
Proceedings of the 2007 international symposium on Physical design
Fundamenta Informaticae - New Frontiers in Scientific Discovery - Commemorating the Life and Work of Zdzislaw Pawlak
Pawlak's Information Systems in Terms of Galois Connections and Functional Dependencies
Fundamenta Informaticae - New Frontiers in Scientific Discovery - Commemorating the Life and Work of Zdzislaw Pawlak
Alternative model representations and computing capacity: implications for model management
Decision Support Systems
Customer-oriented catalog segmentation: effective solution approaches
Decision Support Systems
A compressed-domain watermarking algorithm for mpeg audio layer 3
MM&Sec '01 Proceedings of the 2001 workshop on Multimedia and security: new challenges
Modelling Multiple Robots in Space: An Adaptive Eco-Grammar System
Fundamenta Informaticae - SPECIAL ISSUE ON DEVELOPMENTS IN GRAMMAR SYSTEMS
Integrity and its applications
ACM-SE 45 Proceedings of the 45th annual southeast regional conference
Allocation cost minimization for periodic hard real-time tasks in energy-constrained DVS systems
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Energy management for real-time embedded systems with reliability requirements
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Adapting Pfair scheduling for symmetric multiprocessors
Journal of Embedded Computing - Cache exploitation in embedded systems
An efficient signature representation and matching method for mobile devices
WICON '06 Proceedings of the 2nd annual international workshop on Wireless internet
A Memetic Heuristic for the Generalized Quadratic Assignment Problem
INFORMS Journal on Computing
Performance Guarantees of Local Search for Multiprocessor Scheduling
INFORMS Journal on Computing
A Column-Generation Approach to Line Planning in Public Transport
Transportation Science
A factoring approach for the Steiner tree problem in undirected networks
Information Sciences: an International Journal
Real-time multimedia processing in video sensor networks
Image Communication
A distributed multicast routing algorithm for real-time applications in wide area networks
Journal of Parallel and Distributed Computing
New bin packing fast lower bounds
Computers and Operations Research
Proceedings of the 6th international conference on Information processing in sensor networks
Communicating via fireflies: geographic routing on duty-cycled sensors
Proceedings of the 6th international conference on Information processing in sensor networks
Scheduling and performance analysis of multicast interconnects
The Journal of Supercomputing
On mutually exclusive roles and separation-of-duty
ACM Transactions on Information and System Security (TISSEC)
Coloring some classes of mixed graphs
Discrete Applied Mathematics
Towards a dichotomy theorem for the counting constraint satisfaction problem
Information and Computation
Anyone but him: The complexity of precluding an alternative
Artificial Intelligence
Efficient multicast search under delay and bandwidth constraints
Wireless Networks
Pairwise edge disjoint shortest paths in the n-cube
Theoretical Computer Science
Switching supports for stateful object remoting on network processors
The Journal of Supercomputing
Designs, Codes and Cryptography
Genetic operators for combinatorial optimization in TSP and microarray gene ordering
Applied Intelligence
Web Intelligence and Agent Systems
Allocation of jobs and identical resources with two pooling centers
Queueing Systems: Theory and Applications
Resource bartering in data grids
Scientific Programming
Multiplierless multiple constant multiplication
ACM Transactions on Algorithms (TALG)
Sharing the cost more efficiently: Improved approximation for multicommodity rent-or-buy
ACM Transactions on Algorithms (TALG)
Maximizing the throughput of parallel jobs on hypercubes
Information Processing Letters
A new adaptive genetic algorithm for fixed channel assignment
Information Sciences: an International Journal
Tree-edges deletion problems with bounded diameter obstruction sets
Discrete Applied Mathematics
The multiple originator broadcasting problem in graphs
Discrete Applied Mathematics
On the uniform edge-partition of a tree
Discrete Applied Mathematics
Edit distance with move operations
Journal of Discrete Algorithms
Decentralized load balancing for highly irregular search problems
Microprocessors & Microsystems
Name-independent compact routing in trees
Information Processing Letters
Subgraph isomorphism, log-bounded fragmentation, and graphs of (locally) bounded treewidth
Journal of Computer and System Sciences
Data-independent neighborhood functions and strict local optima
Discrete Applied Mathematics
A class of web-based facets for the generalized vertex packing problem
Discrete Applied Mathematics
A proactive tree recovery mechanism for resilient overlay multicast
IEEE/ACM Transactions on Networking (TON)
Journal of Integrated Design & Process Science - Manufacturing And Design
Do not crawl in the dust: different urls with similar text
Proceedings of the 16th international conference on World Wide Web
Efficient load-balancing routing for wireless mesh networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Self-improved gaps almost everywhere for the agnostic approximation of monomials
Theoretical Computer Science
Optimizing vendor selection in a two-stage outsourcing process
Computers and Operations Research
Clustering wireless ad hoc networks with weakly connected dominating set
Journal of Parallel and Distributed Computing
Local and global deadlock-detection in component-based systems are NP-hard
Information Processing Letters
Information Processing Letters
Online resource management in a multiprocessor with a network-on-chip
Proceedings of the 2007 ACM symposium on Applied computing
Generalising automaticity to modal properties of finite structures
Theoretical Computer Science
Multiple Sequence Alignment as a Facility-Location Problem
INFORMS Journal on Computing
Steiner Trees and 3-D Macromolecular Conformation
INFORMS Journal on Computing
An Analysis of the Alias Method for Discrete Random-Variate Generation
INFORMS Journal on Computing
Laying Out Sparse Graphs with Provably Minimum Bandwidth
INFORMS Journal on Computing
Effective Role Resolution in Workflow Management
INFORMS Journal on Computing
Integer Polynomial Optimization in Fixed Dimension
Mathematics of Operations Research
Core Stability of Minimum Coloring Games
Mathematics of Operations Research
A Nonparametric Approach to Multiproduct Pricing
Operations Research
Order Assignment and Scheduling in a Supply Chain
Operations Research
Dispatching Buses in a Depot Using Block Patterns
Transportation Science
Efficient Circulation of Railway Rolling Stock
Transportation Science
Real roots of univariate polynomials and straight line programs
Journal of Discrete Algorithms
A Zero-Space algorithm for Negative Cost Cycle Detection in networks
Journal of Discrete Algorithms
Characterization, testing and reconfiguration of faults in mesh networks
Integration, the VLSI Journal
Approximation algorithms for multiprocessor scheduling under uncertainty
Proceedings of the nineteenth annual ACM symposium on Parallel algorithms and architectures
Distributed approximation of capacitated dominating sets
Proceedings of the nineteenth annual ACM symposium on Parallel algorithms and architectures
Proceedings of the nineteenth annual ACM symposium on Parallel algorithms and architectures
Maximizing total upload in latency-sensitive P2P applications
Proceedings of the nineteenth annual ACM symposium on Parallel algorithms and architectures
Fourier meets möbius: fast subset convolution
Proceedings of the thirty-ninth annual ACM symposium on Theory of computing
Interval completion with few edges
Proceedings of the thirty-ninth annual ACM symposium on Theory of computing
Approximating minimum bounded degree spanning trees to within one of optimal
Proceedings of the thirty-ninth annual ACM symposium on Theory of computing
Computer-Aided Design and Test for Digital Microfluidics
IEEE Design & Test
On the Complexity of Register Coalescing
Proceedings of the International Symposium on Code Generation and Optimization
Exploiting Narrow Accelerators with Data-Centric Subgraph Mapping
Proceedings of the International Symposium on Code Generation and Optimization
On the complexity of spill everywhere under SSA form
Proceedings of the 2007 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Scratchpad allocation for data aggregates in superperfect graphs
Proceedings of the 2007 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Modeling And Verification Of Real-Time Systems
Journal of Integrated Design & Process Science
Multievent Crisis Management Using Noncooperative Multistep Games
IEEE Transactions on Computers
pFusion: A P2P Architecture for Internet-Scale Content-Based Search and Retrieval
IEEE Transactions on Parallel and Distributed Systems
On the Complexity of Removing Z-Cycles from a Checkpoints and Communication Pattern
IEEE Transactions on Computers
Multiconstrained QoS Routing: A Norm Approach
IEEE Transactions on Computers
Cross-Layer Collaborative In-Network Processing in Multihop Wireless Sensor Networks
IEEE Transactions on Mobile Computing
Mobile Element Scheduling with Dynamic Deadlines
IEEE Transactions on Mobile Computing
Connected Dominating Sets in Wireless Networks with Different Transmission Ranges
IEEE Transactions on Mobile Computing
Lexicographic Maxmin Fairness for Data Collection in Wireless Sensor Networks
IEEE Transactions on Mobile Computing
Improving Fault Detection Capability by Selectively Retaining Test Cases during Test Suite Reduction
IEEE Transactions on Software Engineering
Search Algorithms for Regression Test Case Prioritization
IEEE Transactions on Software Engineering
Application of the majority connectedness carrier for computing the reliability of complex systems
Automation and Remote Control
On the effect of test-suite reduction on automatically generated model-based tests
Automated Software Engineering
The election problem in asynchronous distributed systems with bounded faulty processes
The Journal of Supercomputing
Boolean Functions as Models for Quantified Boolean Formulas
Journal of Automated Reasoning
Natural Computing: an international journal
Optimization of continuous queries with shared expensive filters
Proceedings of the twenty-sixth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Racing algorithms for conditional independence inference
International Journal of Approximate Reasoning
Electronic Commerce Research and Applications
On the restricted forwarding index problem in communication networks
Computers & Mathematics with Applications
Diagnosis, modeling and tolerance of scan chain hold-time violations
Proceedings of the conference on Design, automation and test in Europe
Proceedings of the conference on Design, automation and test in Europe
Proceedings of the conference on Design, automation and test in Europe
The role mining problem: finding a minimal descriptive set of roles
Proceedings of the 12th ACM symposium on Access control models and technologies
Analysis of Topology Aggregation techniques for QoS routing
ACM Computing Surveys (CSUR)
Approximating total flow time on parallel machines
Journal of Computer and System Sciences
Genus characterizes the complexity of certain graph problems: Some tight results
Journal of Computer and System Sciences
View disassembly: A rewrite that extracts portions of views
Journal of Computer and System Sciences
An effective offloading middleware for pervasive services on mobile devices
Pervasive and Mobile Computing
Satisfiability of mixed Horn formulas
Discrete Applied Mathematics
SCOPES '07 Proceedingsof the 10th international workshop on Software & compilers for embedded systems
Energy-aware multicasting in wireless ad hoc networks: A survey and discussion
Computer Communications
Distributed multi-join query processing in data grids
Information Sciences: an International Journal
The 0-1 knapsack problem with fuzzy data
Fuzzy Optimization and Decision Making
Dynamic vehicle routing using genetic algorithms
Applied Intelligence
Constructing pairwise disjoint paths with few links
ACM Transactions on Algorithms (TALG)
Windows scheduling as a restricted version of bin packing
ACM Transactions on Algorithms (TALG)
Finding what's not there: a new approach to revealing neglected conditions in software
Proceedings of the 2007 international symposium on Software testing and analysis
On learning linear ranking functions for beam search
Proceedings of the 24th international conference on Machine learning
Effective prediction and its computational complexity
CATS '07 Proceedings of the thirteenth Australasian symposium on Theory of computing - Volume 65
Genetically designed heuristics for the bin packing problem
Proceedings of the 9th annual conference companion on Genetic and evolutionary computation
A Linear--time Tissue P System Based Solution for the 3--coloring Problem
Electronic Notes in Theoretical Computer Science (ENTCS)
ACSW '07 Proceedings of the fifth Australasian symposium on ACSW frontiers - Volume 68
Journal of Integrated Design & Process Science
Techniques for maintaining connectivity in wireless ad-hoc networks under energy constraints
ACM Transactions on Embedded Computing Systems (TECS)
Elastic reservations for efficient bandwidth utilization in LambdaGrids
Future Generation Computer Systems - Special section: Data mining in grid computing environments
Hardness results on the man-exchange stable marriage problem with short preference lists
Information Processing Letters
ESA'06 Proceedings of the 14th conference on Annual European Symposium - Volume 14
Hybrid evolutionary algorithms on minimum vertex cover for random graphs
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Evolutionary algorithms and matroid optimization problems
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Multiobjective network design for realistic traffic models
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Evolutionary algorithms for reasoning in fuzzy description logics with fuzzy quantifiers
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Comparing two models to generate hyper-heuristics for the 2d-regular bin-packing problem
Proceedings of the 9th annual conference on Genetic and evolutionary computation
A multi-objective imaging scheduling approach for earth observing satellites
Proceedings of the 9th annual conference on Genetic and evolutionary computation
ACM Transactions on Design Automation of Electronic Systems (TODAES)
GlitchMap: an FPGA technology mapper for low power considering glitches
Proceedings of the 44th annual Design Automation Conference
Exact combinatorial optimization methods for physical design of regular logic bricks
Proceedings of the 44th annual Design Automation Conference
Topology-based optimization of maximal sustainable throughput in a latency-insensitive system
Proceedings of the 44th annual Design Automation Conference
An integer linear programming based routing algorithm for flip-chip design
Proceedings of the 44th annual Design Automation Conference
SOC test architecture optimization for signal integrity faults on core-external interconnects
Proceedings of the 44th annual Design Automation Conference
Approximation algorithm for data mapping on block multi-threaded network processor architectures
Proceedings of the 44th annual Design Automation Conference
A provably good approximation algorithm for power optimization using multiple supply voltages
Proceedings of the 44th annual Design Automation Conference
Diffusion and graph spectral methods for network forensic analysis
NSPW '06 Proceedings of the 2006 workshop on New security paradigms
On the complexity of the spaced seeds
Journal of Computer and System Sciences
Efficient approximation of convex recolorings
Journal of Computer and System Sciences
A hybrid grouping genetic algorithm for the registration area planning problem
Computer Communications
Digital hyperplane recognition in arbitrary fixed dimension within an algebraic computation model
Image and Vision Computing
Computing the types of the relationships between autonomous systems
IEEE/ACM Transactions on Networking (TON)
Theoretical Computer Science
NP-completeness results for some problems on subclasses of bipartite and chordal graphs
Theoretical Computer Science
Some approximation algorithms for the clique partition problem in weighted interval graphs
Theoretical Computer Science
Efficient resource allocation in clustered wireless mesh networks
IWCMC '07 Proceedings of the 2007 international conference on Wireless communications and mobile computing
Efficient broadcasting via rateless coding in multihop wireless networks with local information
IWCMC '07 Proceedings of the 2007 international conference on Wireless communications and mobile computing
Efficient incremental constrained clustering
Proceedings of the 13th ACM SIGKDD international conference on Knowledge discovery and data mining
The self-protection problem in wireless sensor networks
ACM Transactions on Sensor Networks (TOSN)
Parameterized complexity of the induced subgraph problem in directed graphs
Information Processing Letters
Bounds on the bisection width for random d -regular graphs
Theoretical Computer Science
Approximation schemes for a class of subset selection problems
Theoretical Computer Science
Memory management optimization problems for integrated circuit simulators
Discrete Applied Mathematics
On the generation of bicliques of a graph
Discrete Applied Mathematics
On powers of graphs of bounded NLC-width (clique-width)
Discrete Applied Mathematics
-completeness of generalized multi-Skolem sequences
Discrete Applied Mathematics
Matroid representation of clique complexes
Discrete Applied Mathematics
High-level synthesis of DSP applications using adaptive negative cycle detection
EURASIP Journal on Applied Signal Processing
A PTAS for TSP with neighborhoods among fat regions in the plane
SODA '07 Proceedings of the eighteenth annual ACM-SIAM symposium on Discrete algorithms
Squarepants in a tree: sum of subtree clustering and hyperbolic pants decomposition
SODA '07 Proceedings of the eighteenth annual ACM-SIAM symposium on Discrete algorithms
Online vertex colorings of random graphs without monochromatic subgraphs
SODA '07 Proceedings of the eighteenth annual ACM-SIAM symposium on Discrete algorithms
Buying cheap is expensive: hardness of non-parametric multi-product pricing
SODA '07 Proceedings of the eighteenth annual ACM-SIAM symposium on Discrete algorithms
An overview of computational complexity
ACM Turing award lectures
Turing award lecture: it's time to reconsider time
ACM Turing award lectures
Proceedings of the 20th annual conference on Integrated circuits and systems design
Ockham's razor, empirical complexity, and truth-finding efficiency
Theoretical Computer Science
Query-monotonic Turing reductions
Theoretical Computer Science
Fault-resilient sensing in wireless sensor networks
Computer Communications
Centralized asynchronous broadcast in radio networks
Theoretical Computer Science
Feedback vertex sets in mesh-based networks
Theoretical Computer Science
Computational properties of argument systems satisfying graph-theoretic constraints
Artificial Intelligence
Integrated topology control and routing in wireless optical mesh networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Quantum Algorithms: Philosophical Lessons
Minds and Machines
A Formal Analysis of Fault Diagnosis with D-matrices
Journal of Electronic Testing: Theory and Applications
The Hopfield-Tank neural network applied to the mobile agent planning problem
Applied Intelligence
Equivalence among relational expressions with the union and difference operation
VLDB '78 Proceedings of the fourth international conference on Very Large Data Bases - Volume 4
Incremental file reorganization schemes
VLDB '85 Proceedings of the 11th international conference on Very Large Data Bases - Volume 11
NetBook: a data model to support knowledge exploration
VLDB '85 Proceedings of the 11th international conference on Very Large Data Bases - Volume 11
On representing incomplete information in a relational data base
VLDB '81 Proceedings of the seventh international conference on Very Large Data Bases - Volume 7
RE-Tree: an efficient index structure for regular expressions
VLDB '02 Proceedings of the 28th international conference on Very Large Data Bases
Algorithms for finding small attractors in boolean networks
EURASIP Journal on Bioinformatics and Systems Biology
Hardness and approximation results for Black Hole Search in arbitrary networks
Theoretical Computer Science
Computers and Operations Research
A new constraint programming approach for the orthogonal packing problem
Computers and Operations Research
Journal of Parallel and Distributed Computing
Surviving attacks on disruption-tolerant networks without authentication
Proceedings of the 8th ACM international symposium on Mobile ad hoc networking and computing
Maximum-lifetime routing: system optimization & game-theoretic perspectives
Proceedings of the 8th ACM international symposium on Mobile ad hoc networking and computing
Proceedings of the 8th ACM international symposium on Mobile ad hoc networking and computing
Sensor network minimal energy routing with latency guarantees
Proceedings of the 8th ACM international symposium on Mobile ad hoc networking and computing
Mesh Ensemble Motion Graphs: Data-driven mesh animation with constraints
ACM Transactions on Graphics (TOG)
On approximation algorithms of k-connected m-dominating sets in disk graphs
Theoretical Computer Science
On the complexity of dominating set problems related to the minimum all-ones problem
Theoretical Computer Science
An exact algorithm for the minimum dominating clique problem
Theoretical Computer Science
Extracting constrained 2-interval subsets in 2-interval sets
Theoretical Computer Science
An analytical approach to the inference of summary data of additive type
Theoretical Computer Science
Information Sciences: an International Journal
An efficient graph-based recognizer for hand-drawn symbols
Computers and Graphics
Ant colony optimization combined with taboo search for the job shop scheduling problem
Computers and Operations Research
Computers and Operations Research
Heuristics for automated knowledge source integration and service composition
Computers and Operations Research
Development of scheduling strategies with Genetic Fuzzy systems
Applied Soft Computing
ACM Transactions on Algorithms (TALG)
Real-time optimisation of access control lists for efficient Internet packet filtering
Journal of Heuristics
Path selection under multiple QoS constraints - a practical approach
Journal of High Speed Networks
Status report: the manticore project
ML '07 Proceedings of the 2007 workshop on Workshop on ML
Finding a minimum path cover of a distance-hereditary graph in polynomial time
Discrete Applied Mathematics
Hamiltonicity of regular graphs and blocks of consecutive ones in symmetric matrices
Discrete Applied Mathematics
Note: The harmonious coloring problem is NP-complete for interval and permutation graphs
Discrete Applied Mathematics
Predecessor existence problems for finite discrete dynamical systems
Theoretical Computer Science
On efficient deployment of sensors on planar grid
Computer Communications
Fast distributed dominating set based routing in large scale MANETs
Computer Communications
Evolutionary algorithms for grouping high dimensional Email data
Intelligent Data Analysis
A two-phase relaxation-based heuristic for the maximum feasible subsystem problem
Computers and Operations Research
PERM for solving circle packing problem
Computers and Operations Research
On the size complexity of universal accepting hybrid networks of evolutionary processors
Mathematical Structures in Computer Science
Joint optimal access point selection and channel assignment in wireless networks
IEEE/ACM Transactions on Networking (TON)
Fairness and load balancing in wireless LANs using association control
IEEE/ACM Transactions on Networking (TON)
On places suitable for applying AI principles in NP-hard graph problems' algorithms
AIAP'07 Proceedings of the 25th conference on Proceedings of the 25th IASTED International Multi-Conference: artificial intelligence and applications
Maximizing the weighted number of just-in-time jobs in flow shop scheduling
Journal of Scheduling
Measuring and extracting proximity graphs in networks
ACM Transactions on Knowledge Discovery from Data (TKDD)
Outlier detection by logic programming
ACM Transactions on Computational Logic (TOCL)
The orphan problem in zigbee-based wireless sensor networks
Proceedings of the 10th ACM Symposium on Modeling, analysis, and simulation of wireless and mobile systems
Multi-path dynamic admission control in mpls networks with end-to-end delay guarantees
Proceedings of the 2nd ACM workshop on Performance monitoring and measurement of heterogeneous wireless and wired networks
Constrained sequence alignment: A general model and the hardness results
Discrete Applied Mathematics
Solving the variable size bin packing problem with discretized formulations
Computers and Operations Research
Computers and Operations Research
A Relax-and-Cut algorithm for the set partitioning problem
Computers and Operations Research
Heuristic and exact algorithms for the max-min optimization of the multi-scenario knapsack problem
Computers and Operations Research
A Switch-Level Model and Simulator for MOS Digital Systems
IEEE Transactions on Computers
A Distributed Channel-Access Protocol for Fully-Connected Networks with Mobile Nodes
IEEE Transactions on Computers
Deadlock-Free Systems for a Bounded Number of Processes
IEEE Transactions on Computers
IEEE Transactions on Computers
On Minimal Test Sets for Locating Single Link Failures in Networks
IEEE Transactions on Computers
The Complexity of Fault Detection Problems for Combinational Logic Circuits
IEEE Transactions on Computers
MANIP A Multicomputer Architecture for Solving Combinatonal Extremum-Search Problems
IEEE Transactions on Computers
A Parallel Algorithm for the Knapsack Problem
IEEE Transactions on Computers
Wave Scheduling Decentralized Scheduling of Task Forces in Multicomputers
IEEE Transactions on Computers
Distributed Reconfiguration Strategies for Fault-Tolerant Multiprocessor Systems
IEEE Transactions on Computers - Lecture notes in computer science Vol. 174
The Complexity of Horizontal Word Encoding in Microprogrammed Machines
IEEE Transactions on Computers
Solution and Optimization of Systems of Pseudo-Boolean Constraints
IEEE Transactions on Computers
On the Hardness of Approximating the Multicast Delay Variation Problem
IEEE Transactions on Computers
Practical Multiprocessor Scheduling Algorithms for Efficient Parallel Processing
IEEE Transactions on Computers
Optimal Parallel Scheduling of Gaussian Elimination DAG's
IEEE Transactions on Computers
Push-Pull: Deterministic Search-Based DAG Scheduling for Heterogeneous Cluster Systems
IEEE Transactions on Parallel and Distributed Systems
Optimal Scheduling and Placement of Internet Banner Advertisements
IEEE Transactions on Knowledge and Data Engineering
Communication and Coordination in Wireless Sensor and Actor Networks
IEEE Transactions on Mobile Computing
Spare capacity provisioning for quasi-static traffic
Computer Networks: The International Journal of Computer and Telecommunications Networking
Exploiting functional dependencies in declarative problem specifications
Artificial Intelligence
Mining competent case bases for case-based reasoning
Artificial Intelligence
Primitives for workload summarization and implications for SQL
VLDB '03 Proceedings of the 29th international conference on Very large data bases - Volume 29
A Novel EDA Tool for VLSI Test Vectors Management
Journal of Electronic Testing: Theory and Applications
Proceedings of the 1st international conference on Bio inspired models of network, information and computing systems
An ant algorithm for static and dynamic MAX-SAT problems
Proceedings of the 1st international conference on Bio inspired models of network, information and computing systems
Music playlist generation by adapted simulated annealing
Information Sciences: an International Journal
Instance-based schema matching for web databases by domain-specific query probing
VLDB '04 Proceedings of the Thirtieth international conference on Very large data bases - Volume 30
FleDEx: flexible data exchange
Proceedings of the 9th annual ACM international workshop on Web information and data management
ACM SIGMOBILE Mobile Computing and Communications Review
Decomposing Berge graphs and detecting balanced skew partitions
Journal of Combinatorial Theory Series B
Note: On the complexity of 4-coloring graphs without long induced paths
Theoretical Computer Science
NP-hard graph problems and boundary classes of graphs
Theoretical Computer Science
Theoretical Computer Science
Comparing Genomes with Duplications: A Computational Complexity Point of View
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Distributed optimal self-organization in ad hoc wireless sensor networks
IEEE/ACM Transactions on Networking (TON)
A classification scheme for bin packing theory
Acta Cybernetica
A pattern generation-integer programming based formulation for the carpet loading problem
Computers and Industrial Engineering
International Journal of Approximate Reasoning
Inter-autonomous system provisioning for end-to-end bandwidth guarantees
Computer Communications
A multiple server location-allocation model for service system design
Computers and Operations Research
Solving the one-dimensional bin packing problem with a weight annealing heuristic
Computers and Operations Research
Formulations and exact algorithms for the vehicle routing problem with time windows
Computers and Operations Research
Packing vertices and edges in random regular graphs
Random Structures & Algorithms
High-level synthesis of digital microfluidic biochips
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Efficient virtual-backbone routing in mobile ad hoc networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Improving data quality: consistency and accuracy
VLDB '07 Proceedings of the 33rd international conference on Very large data bases
VLDB '07 Proceedings of the 33rd international conference on Very large data bases
On the production of anorexic plan diagrams
VLDB '07 Proceedings of the 33rd international conference on Very large data bases
Exploiting hierarchy and structure to efficiently solve graph coloring as SAT
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Strategies for improving the parametric yield and profits of 3D ICs
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
A migration strategy of mobile agents for the transport network applications
Mathematics and Computers in Simulation
On guarding the vertices of rectilinear domains
Computational Geometry: Theory and Applications
Geometric crossovers for multiway graph partitioning
Evolutionary Computation
Physically realistic motion synthesis in animation
Evolutionary Computation
A new representation and operators for genetic algorithms applied to grouping problems
Evolutionary Computation
Algebraic theory of recombination spaces
Evolutionary Computation
Genetic forma recombination in permutation flowshop problems
Evolutionary Computation
Genetic algorithms, path relinking, and the flowshop sequencing problem
Evolutionary Computation
On the futility of blind search: An algorithmic view of “no free lunch”
Evolutionary Computation
Collective adaptation: The exchange of coding segments
Evolutionary Computation
An adaptive memory algorithm for the k-coloring problem
Discrete Applied Mathematics
A semidefinite programming-based heuristic for graph coloring
Discrete Applied Mathematics
Coloring graphs by iterated local search traversing feasible and infeasible solutions
Discrete Applied Mathematics
Efficient algorithms for finding critical subgraphs
Discrete Applied Mathematics
Online bin packing with arbitrary release times
Theoretical Computer Science
Note: On the complexity of non-unique probe selection
Theoretical Computer Science
Rectangular layouts and contact graphs
ACM Transactions on Algorithms (TALG)
Path decomposition under a new cost measure with applications to optical network design
ACM Transactions on Algorithms (TALG)
A winner determination algorithm for auction-based decentralized scheduling
Proceedings of the 6th international joint conference on Autonomous agents and multiagent systems
Polynomial algorithms for protein similarity search for restricted mRNA structures
Information Processing Letters
A distributed approximation algorithm for the minimum degree minimum weight spanning trees
Journal of Parallel and Distributed Computing
A design framework for real-time embedded systems with code size and energy constraints
ACM Transactions on Embedded Computing Systems (TECS)
Preemptive open shop scheduling with multiprocessors: polynomial cases and applications
Journal of Scheduling
Information preserving XML schema embedding
ACM Transactions on Database Systems (TODS)
An approach for the maximum clique finding problem test tool software engineering
SE'07 Proceedings of the 25th conference on IASTED International Multi-Conference: Software Engineering
Two composite methods for soft drink distribution problem
Advances in Engineering Software
Minimization of decision trees is hard to approximate
Journal of Computer and System Sciences
Counting truth assignments of formulas of bounded tree-width or clique-width
Discrete Applied Mathematics
Random walk biclustering for microarray data
Information Sciences: an International Journal
Minimum Deadline Calculation for Periodic Real-Time Tasks in Dynamic Priority Systems
IEEE Transactions on Computers
IEEE Transactions on Parallel and Distributed Systems
A Service-Centric Multicast Architecture and Routing Protocol
IEEE Transactions on Parallel and Distributed Systems
Logic classification and feature selection for biomedical data
Computers & Mathematics with Applications
Solving peptide sequencing as satisfiability
Computers & Mathematics with Applications
A distributed topology-aware overlays construction algorithm
Proceedings of the 15th ACM Mardi Gras conference: From lightweight mash-ups to lambda grids: Understanding the spectrum of distributed computing requirements, applications, tools, infrastructures, interoperability, and the incremental adoption of key capabilities
Expressive probabilistic description logics
Artificial Intelligence
Solving quantified constraint satisfaction problems
Artificial Intelligence
An interference-aware fair scheduling for multicast in wireless mesh networks
Journal of Parallel and Distributed Computing
Multicast algorithms in service overlay networks
Computer Communications
Formula dissection: A parallel algorithm for constraint satisfaction
Computers & Mathematics with Applications
Viral systems: A new bio-inspired optimisation approach
Computers and Operations Research
A discrete particle swarm optimization algorithm for the no-wait flowshop scheduling problem
Computers and Operations Research
Fair referee assignments for professional football leagues
Computers and Operations Research
SAT-based ATPG using multilevel compatible don't-cares
ACM Transactions on Design Automation of Electronic Systems (TODAES)
The optimization of kEP-SOPs: Computational complexity, approximability and experiments
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Communication optimizations for global multi-threaded instruction scheduling
Proceedings of the 13th international conference on Architectural support for programming languages and operating systems
XML data exchange: Consistency and query answering
Journal of the ACM (JACM)
Minimum-weight triangulation is NP-hard
Journal of the ACM (JACM)
Efficient Load-Balanced Clustering Algorithms for wireless sensor networks
Computer Communications
The zoo of tree spanner problems
Discrete Applied Mathematics
Scheduling malleable tasks with interdependent processing rates: Comments and observations
Discrete Applied Mathematics
Maximum weight edge-constrained matchings
Discrete Applied Mathematics
On minimum metric dimension of honeycomb networks
Journal of Discrete Algorithms
An efficient representation of Benes networks and its applications
Journal of Discrete Algorithms
Global minimization of rational functions and the nearest GCDs
Journal of Global Optimization
An algorithm for improving graph partitions
Proceedings of the nineteenth annual ACM-SIAM symposium on Discrete algorithms
Approximating connected facility location problems via random facility sampling and core detouring
Proceedings of the nineteenth annual ACM-SIAM symposium on Discrete algorithms
Approximating geometric coverage problems
Proceedings of the nineteenth annual ACM-SIAM symposium on Discrete algorithms
Buffer optimization in multitask implementations of Simulink models
ACM Transactions on Embedded Computing Systems (TECS)
A fast asymptotic approximation scheme for bin packing with rejection
Theoretical Computer Science
Single machine scheduling with release dates and job delivery to minimize the makespan
Theoretical Computer Science
Approximating a vehicle scheduling problem with time windows and handling times
Theoretical Computer Science
The computational complexity of the parallel knock-out problem
Theoretical Computer Science
Pairs of SAT-assignments in random Boolean formulæ
Theoretical Computer Science
On the hardness of optimization in power-law graphs
Theoretical Computer Science
A framework for scalable greedy coloring on distributed-memory parallel computers
Journal of Parallel and Distributed Computing
Detecting buffer overflow via automatic test input data generation
Computers and Operations Research
An effective two-stage simulated annealing algorithm for the minimum linear arrangement problem
Computers and Operations Research
New resolution algorithm and pretreatments for the two-dimensional bin-packing problem
Computers and Operations Research
Iterated fast local search algorithm for solving quadratic assignment problems
Robotics and Computer-Integrated Manufacturing
Design Aspects of Multi-level Reconfigurable Architectures
Journal of Signal Processing Systems
Maximizing lifetime for a sensor network
Proceedings of the 2nd international conference on Ubiquitous information management and communication
A novel admission control mechanism in GMPLS-based IP over optical networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Revisiting fidelity: a case of elmore-based Y-routing trees
Proceedings of the 2008 international workshop on System level interconnect prediction
Efficient time-aware prioritization with knapsack solvers
Proceedings of the 1st ACM international workshop on Empirical assessment of software engineering languages and technologies: held in conjunction with the 22nd IEEE/ACM International Conference on Automated Software Engineering (ASE) 2007
A linear programming formulation of the traveling Salesman problem
MATH'07 Proceedings of the 11th WSEAS International Conference on Applied Mathematics
Journal of Combinatorial Theory Series B
Domain permutation reduction for constraint satisfaction problems
Artificial Intelligence
Approximating the maximum vertex/edge weighted clique using local search
Journal of Heuristics
A dominant predecessor duplication scheduling algorithm for heterogeneous systems
The Journal of Supercomputing
Near-optimal instruction selection on dags
Proceedings of the 6th annual IEEE/ACM international symposium on Code generation and optimization
Solving production scheduling problems using advanced model checking tools
International Journal of Computer Applications in Technology
Towards understanding expression for tele-operation
International Journal of Computer Applications in Technology
Algorithms and analysis of scheduling for loops with minimum switching
International Journal of Computational Science and Engineering
Multicast tree allocation algorithms for Distributed Interactive Simulation
International Journal of High Performance Computing and Networking
Real-time minimum vertex cover for two-terminal series-parallel graphs
International Journal of High Performance Computing and Networking
Proceedings of the 2007 Summer Computer Simulation Conference
A novel tree-based broadcast algorithm for wireless ad hoc networks
International Journal of Wireless and Mobile Computing
On extracting consistent graphs in wireless sensor networks
International Journal of Sensor Networks
A flow-based reliability measure for wireless sensor networks
International Journal of Sensor Networks
Improving network lifetime using sensors with adjustable sensing ranges
International Journal of Sensor Networks
A multiobjective evolutionary algorithm-based optimisation model for network on chip synthesis
International Journal of Innovative Computing and Applications
Simulating the generic job shop as a multi-agent system
International Journal of Intelligent Systems Technologies and Applications
Path coupling using stopping times and counting independent sets and colorings in hypergraphs
Random Structures & Algorithms
Algorithms and analysis of scheduling for low-power high-performance DSP on VLIW processors
International Journal of High Performance Computing and Networking
Parallel multilevel algorithms for hypergraph partitioning
Journal of Parallel and Distributed Computing
Distributed reformation of core-based group-shared multicast trees in mobile ad hoc networks
Journal of Parallel and Distributed Computing
A particle swarm optimization algorithm for the multiple-level warehouse layout design problem
Computers and Industrial Engineering
The impact of heterogeneity on master-slave scheduling
Parallel Computing
Integrated code generation by using fuzzy control system
SCOPES '08 Proceedings of the 11th international workshop on Software & compilers for embedded systems
On the vertex-arboricity of planar graphs
European Journal of Combinatorics
Attraction radii in binary hopfield nets are hard to compute
Neural Computation
On complexity of optimal recombination for binary representations of solutions
Evolutionary Computation
Artificial intelligence in the maximum clique finding problem applications
ICAI'06 Proceedings of the 7th WSEAS International Conference on Automation & Information
Bipartite isoperimetric graph partitioning for data co-clustering
Data Mining and Knowledge Discovery
Theoretical Computer Science
Streaming cache placement problems: complexity and algorithms
International Journal of Computational Science and Engineering
NetDiagnoser: troubleshooting network unreachabilities using end-to-end probes and routing data
CoNEXT '07 Proceedings of the 2007 ACM CoNEXT conference
A clustering-based prefetching scheme on a Web cache environment
Computers and Electrical Engineering
Approximation results for the weighted P4 partition problem
Journal of Discrete Algorithms
Inapproximability and approximability of minimal tree routing and coloring
Journal of Discrete Algorithms
Bounded list injective homomorphism for comparative analysis of protein-protein interaction graphs
Journal of Discrete Algorithms
Shuffling biological sequences with motif constraints
Journal of Discrete Algorithms
Graph-based representation for similarity retrieval of symbolic images
Data & Knowledge Engineering
From precedence constraint posting to partial order schedules: A CSP approach to Robust Scheduling
AI Communications - Constraint Programming for Planning and Scheduling
Data parallel scheduling of operations in linear algebra on heterogeneous clusters
DIWEB'06 Proceedings of the 5th WSEAS International Conference on Distance Learning and Web Engineering
Extending MATLAB and GA to solve job shop manufacturing scheduling problems
ISPRA'06 Proceedings of the 5th WSEAS International Conference on Signal Processing, Robotics and Automation
Conditional functional dependencies for capturing data inconsistencies
ACM Transactions on Database Systems (TODS)
Convergent SparseDT topology control protocol in dense sensor networks
Proceedings of the 2nd international conference on Scalable information systems
Multiprocessor systems synthesis for multiple use-cases of multiple applications on FPGA
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Discriminating codes in (bipartite) planar graphs
European Journal of Combinatorics
A global approach for designing reliable WDM networks and grooming the traffic
Computers and Operations Research
A combinatorial allocation mechanism with penalties for banner advertising
Proceedings of the 17th international conference on World Wide Web
Clone detection in automotive model-based development
Proceedings of the 30th international conference on Software engineering
Private combinatorial group testing
Proceedings of the 2008 ACM symposium on Information, computer and communications security
An ILP based management protocol for wireless networks
ICCOM'05 Proceedings of the 9th WSEAS International Conference on Communications
Journal of Systems Architecture: the EUROMICRO Journal
Time constrained graph searching
Theoretical Computer Science
Indexing through laplacian spectra
Computer Vision and Image Understanding
Cyclic Extensions of Order Varieties
Electronic Notes in Theoretical Computer Science (ENTCS)
Mapping pipeline skeletons onto heterogeneous platforms
Journal of Parallel and Distributed Computing
Application partitioning on programmable platforms using the ant colony optimization
Journal of Embedded Computing - Embeded Processors and Systems: Architectural Issues and Solutions for Emerging Applications
Boosting Haplotype Inference with Local Search
Constraints
Optimal due date assignment in multi-machine scheduling environments
Journal of Scheduling
Load balancing in large-scale RFID systems
Computer Networks: The International Journal of Computer and Telecommunications Networking
Task scheduling in multiprocessing systems using duplication
Journal of Systems Architecture: the EUROMICRO Journal
Computers & Mathematics with Applications
Merged Dictionary Code Compression for FPGA Implementation of Custom Microcoded PEs
ACM Transactions on Reconfigurable Technology and Systems (TRETS)
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Computing Phylogenetic Diversity for Split Systems
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Nature Reserve Selection Problem: A Tight Approximation Algorithm
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Two techniques for fast computation of constrained shortest paths
IEEE/ACM Transactions on Networking (TON)
Designing routes for source coding with explicit side information in sensor networks
IEEE/ACM Transactions on Networking (TON)
Stochastic multivalued network for optimization: application to the graph Maxcut problem
CIMMACS'06 Proceedings of the 5th WSEAS International Conference on Computational Intelligence, Man-Machine Systems and Cybernetics
Networks of evolutionary processors: UML architecture
CIMMACS'06 Proceedings of the 5th WSEAS International Conference on Computational Intelligence, Man-Machine Systems and Cybernetics
A fixed-parameter algorithm for the directed feedback vertex set problem
STOC '08 Proceedings of the fortieth annual ACM symposium on Theory of computing
Delay-bounded routing in vehicular ad-hoc networks
Proceedings of the 9th ACM international symposium on Mobile ad hoc networking and computing
Trade-off scheme for fault tolerant connected dominating sets on size and diameter
Proceedings of the 1st ACM international workshop on Foundations of wireless ad hoc and sensor networking and computing
Complexity of scheduling with analog network coding
Proceedings of the 1st ACM international workshop on Foundations of wireless ad hoc and sensor networking and computing
Compact samples for data dissemination
Journal of Computer and System Sciences
Hardness of optimal spaced seed design
Journal of Computer and System Sciences
Approximation of satisfactory bisection problems
Journal of Computer and System Sciences
Simultaneous matchings: Hardness and approximation
Journal of Computer and System Sciences
Computational complexity of auditing finite attributes in statistical databases
Journal of Computer and System Sciences
An analytical model for performance evaluation in a computational grid
CHINA HPC '07 Proceedings of the 2007 Asian technology information program's (ATIP's) 3rd workshop on High performance computing in China: solution approaches to impediments for high performance computing
Low cost scan test by test correlation utilization
Journal of Computer Science and Technology
Problem partitioning in hybrid genetic algorithms
CSECS'06 Proceedings of the 5th WSEAS International Conference on Circuits, Systems, Electronics, Control & Signal Processing
Graph summarization with bounded error
Proceedings of the 2008 ACM SIGMOD international conference on Management of data
SQAK: doing more with keywords
Proceedings of the 2008 ACM SIGMOD international conference on Management of data
Efficient signature based malware detection on mobile devices
Mobile Information Systems
On the complexity of deriving schema mappings from database instances
Proceedings of the twenty-seventh ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Complexity and composition of synthesized web services
Proceedings of the twenty-seventh ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Approximating the traffic grooming problem in tree and star networks
Journal of Parallel and Distributed Computing
Coloring kk-free intersection graphs of geometric objects in the plane
Proceedings of the twenty-fourth annual symposium on Computational geometry
Experiments in the dynamics of phase coupled oscillators when applied to graph colouring
ACSC '08 Proceedings of the thirty-first Australasian conference on Computer science - Volume 74
What can be approximated locally?: case study: dominating sets in planar graphs
Proceedings of the twentieth annual symposium on Parallelism in algorithms and architectures
Prefilter: predicate pushdown at streaming speeds
SSPS '08 Proceedings of the 2nd international workshop on Scalable stream processing system
Graph classes and the complexity of the graph orientation minimizing the maximum weighted outdegree
CATS '08 Proceedings of the fourteenth symposium on Computing: the Australasian theory - Volume 77
Finding the longest common subsequence for multiple biological sequences by ant colony optimization
Computers and Operations Research
A model and heuristic algorithms for multi-unit nondiscriminatory combinatorial auction
Computers and Operations Research
Detecting reliable gene interactions by a hierarchy of Bayesian network classifiers
Computer Methods and Programs in Biomedicine
A better list heuristic for vertex cover
Information Processing Letters
On the polytope of non-additive measures
Fuzzy Sets and Systems
BIO logical agents: Norms, beliefs, intentions in defeasible logic
Autonomous Agents and Multi-Agent Systems
On Composite Leakage Current Maximization
Journal of Electronic Testing: Theory and Applications
Tree-based partition querying: a methodology for computing medoids in large spatial datasets
The VLDB Journal — The International Journal on Very Large Data Bases
Approximation algorithms for scheduling unrelated parallel machines
SFCS '87 Proceedings of the 28th Annual Symposium on Foundations of Computer Science
Finding near optimal separators in planar graphs
SFCS '87 Proceedings of the 28th Annual Symposium on Foundations of Computer Science
Scheduling mixed-parallel applications with advance reservations
HPDC '08 Proceedings of the 17th international symposium on High performance distributed computing
Approximation algorithms for forests augmentation ensuring two disjoint paths of bounded length
Theoretical Computer Science
Online scheduling of equal-processing-time task systems
Theoretical Computer Science
Theoretical Computer Science
Complexity results for enhanced qualitative probabilistic networks
International Journal of Approximate Reasoning
A collaborative wireless access to on-demand services
Advances in Multimedia
Genetic algorithm for finding minimal cost light forest of multicast routing on WDM networks
Journal of Artificial Evolution and Applications - Regular issue
An algorithm for the difference between set covers
Discrete Applied Mathematics
Facet defining inequalities among graph invariants: The system GraPHedron
Discrete Applied Mathematics
On the complexity of the multicut problem in bounded tree-width graphs and digraphs
Discrete Applied Mathematics
Feedback vertex set on AT-free graphs
Discrete Applied Mathematics
On the windfall of friendship: inoculation strategies on social networks
Proceedings of the 9th ACM conference on Electronic commerce
Inapproximability of the Tutte polynomial
Information and Computation
A note on systems with max--min and max-product constraints
Fuzzy Sets and Systems
Liveness in Interaction Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
Optimally balancing energy consumption versus latency in sensor network routing
ACM Transactions on Sensor Networks (TOSN)
A real-time embedded kernel for nonvisual robotic sensors
EURASIP Journal on Embedded Systems - Operating System Support for Embedded Real-Time Applications
RRES: a novel approach to the partitioning problem for a typical subset of system graphs
EURASIP Journal on Embedded Systems - Reconfigurable Computing and Hardware/Software Codesign
Holographic algorithms: guest column
ACM SIGACT News
Optimizing task schedules using an artificial immune system approach
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Multi-agent task allocation: learning when to say no
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Crossover can provably be useful in evolutionary computation
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Orientation matters: how to efficiently solve ocst problems with problem-specific EAs
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Hyper-heuristics for the dynamic variable ordering in constraint satisfaction problems
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Feasibility-preserving crossover for maximum k-coverage problem
Proceedings of the 10th annual conference on Genetic and evolutionary computation
AMGA: an archive-based micro genetic algorithm for multi-objective optimization
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Graph transformation approaches for diverse routing in shared risk resource group (SRRG) failures
Computer Networks: The International Journal of Computer and Telecommunications Networking
Computer Networks: The International Journal of Computer and Telecommunications Networking
EigenRank: a ranking-oriented approach to collaborative filtering
Proceedings of the 31st annual international ACM SIGIR conference on Research and development in information retrieval
Optimal precomputation for mapping service level agreements in grid computing
Future Generation Computer Systems
Efficient solutions to a class of generalized time-dependent combinatorial optimization problems
MATH'05 Proceedings of the 7th WSEAS International Conference on Applied Mathematics
A generalized network flow based algorithm for power-aware FPGA memory mapping
Proceedings of the 45th annual Design Automation Conference
Run-time instruction set selection in a transmutable embedded processor
Proceedings of the 45th annual Design Automation Conference
Perfect hashing as an almost perfect subtype test
ACM Transactions on Programming Languages and Systems (TOPLAS)
International Journal of Distributed Sensor Networks
International Journal of Computer Integrated Manufacturing - Networked Manufacturing and Mass Customization in the ECommerce Era: the Chinese Perspective
USING A THEOREM PROVER FOR REASONING ON CONSTRAINT PROBLEMS
Applied Artificial Intelligence
Set covering approach for reconstruction of sibling relationships
Optimization Methods & Software - Systems Analysis, Optimization and Data Mining in Biomedicine
Some decision and counting problems of the Duquenne-Guigues basis of implications
Discrete Applied Mathematics
Discrete Applied Mathematics
Red-blue covering problems and the consecutive ones property
Journal of Discrete Algorithms
Expressive power and abstraction in Essence
Constraints
A survey of content based 3D shape retrieval methods
Multimedia Tools and Applications
IEEE/ACM Transactions on Networking (TON)
Polynomial time approximation algorithms for multi-constrained QoS routing
IEEE/ACM Transactions on Networking (TON)
On the computational complexity and effectiveness of N-hub shortest-path routing
IEEE/ACM Transactions on Networking (TON)
International Journal of Autonomous and Adaptive Communications Systems
Cataclysm: Scalable overload policing for internet applications
Journal of Network and Computer Applications
Data & Knowledge Engineering
International Journal of High Performance Computing Applications
Specifying and reasoning about uncertain agents
International Journal of Approximate Reasoning
World-set decompositions: Expressiveness and efficient algorithms
Theoretical Computer Science
A proposal for a hybrid meta-strategy for combinatorial optimization problems
Journal of Heuristics
Simulated annealing algorithm for the robust spanning tree problem
Journal of Heuristics
Mining preferences from superior and inferior examples
Proceedings of the 14th ACM SIGKDD international conference on Knowledge discovery and data mining
Evaluation of election outcomes under uncertainty
Proceedings of the 7th international joint conference on Autonomous agents and multiagent systems - Volume 2
Power and stability in connectivity games
Proceedings of the 7th international joint conference on Autonomous agents and multiagent systems - Volume 2
Proceedings of the 7th international joint conference on Autonomous agents and multiagent systems - Volume 2
Polynomial-TimeMaximisation Classes: Syntactic Hierarchy
Fundamenta Informaticae - Workshop on Combinatorial Algorithms
Comparing winner determination algorithms for mixed multi-unit combinatorial auctions
Proceedings of the 7th international joint conference on Autonomous agents and multiagent systems - Volume 3
A scalable, commodity data center network architecture
Proceedings of the ACM SIGCOMM 2008 conference on Data communication
Discarte: a disjunctive internet cartographer
Proceedings of the ACM SIGCOMM 2008 conference on Data communication
A uniform family of tissue P systems with cell division solving 3-COL in a linear time
Theoretical Computer Science
Approximating the buffer allocation problem using epochs
Journal of Parallel and Distributed Computing
Partition into cliques for cubic graphs: Planar case, complexity and approximation
Discrete Applied Mathematics
Communication: Minimum cost homomorphisms to semicomplete multipartite digraphs
Discrete Applied Mathematics
Proceedings of the conference on Design, automation and test in Europe
Compositional, dynamic cache management for embedded chip multiprocessors
Proceedings of the conference on Design, automation and test in Europe
Comparison of Boolean satisfiability encodings on FPGA detailed routing problems
Proceedings of the conference on Design, automation and test in Europe
Graph colouring approaches for a satellite range scheduling problem
Journal of Scheduling
Edge overlay multicast to support comparable multi-class services
Journal of High Speed Networks
Experimenting with parallelism for the instantiation of ASP programs
Journal of Algorithms
The 0-1 inverse maximum stable set problem
Discrete Applied Mathematics
Environmental Modelling & Software
Satisficing scrolls: a shortcut to satisfactory layout
Proceedings of the eighth ACM symposium on Document engineering
A probabilistic alternative to regression suites
Theoretical Computer Science
Maximizing connected coverage via controlled actor relocation in wireless sensor and actor networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Note: Random-order bin packing
Discrete Applied Mathematics
A fixed-parameter algorithm for the directed feedback vertex set problem
Journal of the ACM (JACM)
An empirical study of hierarchical division for mesh-structured networks
Journal of Computational Methods in Sciences and Engineering - Selected papers from the International Conference on Computer Science, Software Engineering, Information Technology, e-Business, and Applications, 2004
Efficient sort-based skyline evaluation
ACM Transactions on Database Systems (TODS)
Parametric yield management for 3D ICs: Models and strategies for improvement
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Journal of Electronic Testing: Theory and Applications
Scheduling multiple divisible loads in homogeneous star systems
Journal of Scheduling
Reconstruction of binary matrices under fixed size neighborhood constraints
Theoretical Computer Science
On the reconstruction of binary and permutation matrices under (binary) tomographic constraints
Theoretical Computer Science
Computers and Operations Research
Flowshop scheduling problem with a batching machine and task compatibilities
Computers and Operations Research
Two machine flow shop scheduling problem with weighted WIP costs
Computers and Operations Research
International Journal of Approximate Reasoning
Canonical subsets of image features
Computer Vision and Image Understanding
The 2-dimensional probabilistic bin packing problem: an average case analysis of the FBS algorithm
MATH'08 Proceedings of the American Conference on Applied Mathematics
Optimized staffing for product releases and its application at Chartwell Technology
Journal of Software Maintenance and Evolution: Research and Practice - Search Based Software Engineering [SBSE]
Fast and accurate map merging for multi-robot systems
Autonomous Robots
The Pk Partition Problem and Related Problems in Bipartite Graphs
SOFSEM '07 Proceedings of the 33rd conference on Current Trends in Theory and Practice of Computer Science
Self-adaptive Lagrange Relaxation Algorithm for Aggregated Multicast
SOFSEM '07 Proceedings of the 33rd conference on Current Trends in Theory and Practice of Computer Science
On Sum Coloring of Graphs with Parallel Genetic Algorithms
ICANNGA '07 Proceedings of the 8th international conference on Adaptive and Natural Computing Algorithms, Part I
Wasp Swarm Algorithm for Dynamic MAX-SAT Problems
ICANNGA '07 Proceedings of the 8th international conference on Adaptive and Natural Computing Algorithms, Part I
A Branch and Bound Algorithm for Matching Protein Structures
ICANNGA '07 Proceedings of the 8th international conference on Adaptive and Natural Computing Algorithms, Part II
Inductive Logic Programming
A Centralized Network Design Problem with Genetic Algorithm Approach
Computational Intelligence and Security
An Efficient Quantum-Behaved Particle Swarm Optimization for Multiprocessor Scheduling
ICCS '07 Proceedings of the 7th international conference on Computational Science, Part I: ICCS 2007
Impact of QoS on Replica Placement in Tree Networks
ICCS '07 Proceedings of the 7th international conference on Computational Science, Part I: ICCS 2007
ICCS '07 Proceedings of the 7th international conference on Computational Science, Part III: ICCS 2007
Nash Stability in Additively Separable Hedonic Games Is NP-Hard
CiE '07 Proceedings of the 3rd conference on Computability in Europe: Computation and Logic in the Real World
Scheduling a Flexible Batching Machine
AAIM '07 Proceedings of the 3rd international conference on Algorithmic Aspects in Information and Management
Mixed Criteria Packet Scheduling
AAIM '07 Proceedings of the 3rd international conference on Algorithmic Aspects in Information and Management
Approximation Algorithms for the Graph Orientation Minimizing the Maximum Weighted Outdegree
AAIM '07 Proceedings of the 3rd international conference on Algorithmic Aspects in Information and Management
A Heuristic Method for Selecting Support Features from Large Datasets
AAIM '07 Proceedings of the 3rd international conference on Algorithmic Aspects in Information and Management
The "Not-Too-Heavy Spanning Tree" Constraint
CPAIOR '07 Proceedings of the 4th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Preprocessing Expression-Based Constraint Satisfaction Problems for Stochastic Local Search
CPAIOR '07 Proceedings of the 4th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
CPAIOR '07 Proceedings of the 4th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
A Large Neighborhood Search Heuristic for Graph Coloring
CPAIOR '07 Proceedings of the 4th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
On Integer Programming and the Branch-Width of the Constraint Matrix
IPCO '07 Proceedings of the 12th international conference on Integer Programming and Combinatorial Optimization
A Parallel DNA Algorithm Using a Microfluidic Device to Build Scheduling Grids
IWINAC '07 Proceedings of the 2nd international work-conference on The Interplay Between Natural and Artificial Computation, Part I: Bio-inspired Modeling of Cognitive Tasks
Efficient BP Algorithms for General Feedforward Neural Networks
IWINAC '07 Proceedings of the 2nd international work-conference on The Interplay Between Natural and Artificial Computation, Part I: Bio-inspired Modeling of Cognitive Tasks
Axiom Pinpointing in General Tableaux
TABLEAUX '07 Proceedings of the 16th international conference on Automated Reasoning with Analytic Tableaux and Related Methods
A New Multi-level Algorithm Based on Particle Swarm Optimization for Bisecting Graph
ADMA '07 Proceedings of the 3rd international conference on Advanced Data Mining and Applications
Pinpointing in the Description Logic $\mathcal {EL}^+$
KI '07 Proceedings of the 30th annual German conference on Advances in Artificial Intelligence
A Stochastic Local Search Approach to Vertex Cover
KI '07 Proceedings of the 30th annual German conference on Advances in Artificial Intelligence
Complexity of Verifying Game Equilibria
CEEMAS '07 Proceedings of the 5th international Central and Eastern European conference on Multi-Agent Systems and Applications V
The Most Reliable Subgraph Problem
PKDD 2007 Proceedings of the 11th European conference on Principles and Practice of Knowledge Discovery in Databases
Model Checking and Preprocessing
AI*IA '07 Proceedings of the 10th Congress of the Italian Association for Artificial Intelligence on AI*IA 2007: Artificial Intelligence and Human-Oriented Computing
Efficient Selection of Multipoint Relays in Wireless Ad Hoc Networks with Realistic Physical Layer
AINTEC '07 Proceedings of the 3rd Asian conference on Internet Engineering: Sustainable Internet
Support for Fine Grained Dependent Tasks in OpenMP
IWOMP '07 Proceedings of the 3rd international workshop on OpenMP: A Practical Programming Model for the Multi-Core Era
Communities in Large Networks: Identification and Ranking
Algorithms and Models for the Web-Graph
Multivariates Polynomials for Hashing
Information Security and Cryptology
Kipnis-Shamir Attack on HFE Revisited
Information Security and Cryptology
An Improved Randomized Approximation Algorithm for Maximum Triangle Packing
AAIM '08 Proceedings of the 4th international conference on Algorithmic Aspects in Information and Management
Program Repair Suggestions from Graphical State-Transition Specifications
FORTE '08 Proceedings of the 28th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Absorbing Random Walks and the NAE2SAT Problem
FAW '08 Proceedings of the 2nd annual international workshop on Frontiers in Algorithmics
The 2-Terminal-Set Path Cover Problem and Its Polynomial Solution on Cographs
FAW '08 Proceedings of the 2nd annual international workshop on Frontiers in Algorithmics
Some Theorems Concerning the Core Function
Concurrency, Graphs and Models
Local PTAS for Independent Set and Vertex Cover in Location Aware Unit Disk Graphs
DCOSS '08 Proceedings of the 4th IEEE international conference on Distributed Computing in Sensor Systems
An Enzyme-Inspired Approach to Surmount Barriers in Graph Bisection
ICCSA '08 Proceeding sof the international conference on Computational Science and Its Applications, Part I
Damaged BZip Files Are Difficult to Repair
COCOON '08 Proceedings of the 14th annual international conference on Computing and Combinatorics
The Computational Complexity of Link Building
COCOON '08 Proceedings of the 14th annual international conference on Computing and Combinatorics
Structural Identifiability in Low-Rank Matrix Factorization
COCOON '08 Proceedings of the 14th annual international conference on Computing and Combinatorics
Quasi-bicliques: Complexity and Binding Pairs
COCOON '08 Proceedings of the 14th annual international conference on Computing and Combinatorics
COCOON '08 Proceedings of the 14th annual international conference on Computing and Combinatorics
A New Characterization of P6-Free Graphs
COCOON '08 Proceedings of the 14th annual international conference on Computing and Combinatorics
Maximum Connected Domatic Partition of Directed Path Graphs with Single Junction
COCOON '08 Proceedings of the 14th annual international conference on Computing and Combinatorics
On Some City Guarding Problems
COCOON '08 Proceedings of the 14th annual international conference on Computing and Combinatorics
A Two-Stage Flexible Flowshop Problem with Deterioration
COCOON '08 Proceedings of the 14th annual international conference on Computing and Combinatorics
ICAISC '08 Proceedings of the 9th international conference on Artificial Intelligence and Soft Computing
Improving the Performance of Graph Coloring Algorithms through Backtracking
ICCS '08 Proceedings of the 8th international conference on Computational Science, Part I
Integer Maximum Flow in Wireless Sensor Networks with Energy Constraint
SWAT '08 Proceedings of the 11th Scandinavian workshop on Algorithm Theory
Approximating the Interval Constrained Coloring Problem
SWAT '08 Proceedings of the 11th Scandinavian workshop on Algorithm Theory
On Metric Clustering to Minimize the Sum of Radii
SWAT '08 Proceedings of the 11th Scandinavian workshop on Algorithm Theory
A Neuro-Immune Algorithm to Solve the Capacitated Vehicle Routing Problem
ICARIS '08 Proceedings of the 7th international conference on Artificial Immune Systems
ADMA '08 Proceedings of the 4th international conference on Advanced Data Mining and Applications
Algorithms for Inference, Analysis and Control of Boolean Networks
AB '08 Proceedings of the 3rd international conference on Algebraic Biology
Hybrid Semantics for Stochastic π-Calculus
AB '08 Proceedings of the 3rd international conference on Algebraic Biology
CRYPTO 2008 Proceedings of the 28th Annual conference on Cryptology: Advances in Cryptology
Computing Sharp 2-Factors in Claw-Free Graphs
MFCS '08 Proceedings of the 33rd international symposium on Mathematical Foundations of Computer Science
Sincere-Strategy Preference-Based Approval Voting Broadly Resists Control
MFCS '08 Proceedings of the 33rd international symposium on Mathematical Foundations of Computer Science
MFCS '08 Proceedings of the 33rd international symposium on Mathematical Foundations of Computer Science
Computational Study on Dominating Set Problem of Planar Graphs
COCOA 2008 Proceedings of the 2nd international conference on Combinatorial Optimization and Applications
Parameterized Algorithms for Generalized Domination
COCOA 2008 Proceedings of the 2nd international conference on Combinatorial Optimization and Applications
COCOA 2008 Proceedings of the 2nd international conference on Combinatorial Optimization and Applications
Two Constant Approximation Algorithms for Node-Weighted Steiner Tree in Unit Disk Graphs
COCOA 2008 Proceedings of the 2nd international conference on Combinatorial Optimization and Applications
Enumerating Isolated Cliques in Synthetic and Financial Networks
COCOA 2008 Proceedings of the 2nd international conference on Combinatorial Optimization and Applications
A Communication-Aware Topological Mapping Technique for NoCs
Euro-Par '08 Proceedings of the 14th international Euro-Par conference on Parallel Processing
Approximating Optimal Binary Decision Trees
APPROX '08 / RANDOM '08 Proceedings of the 11th international workshop, APPROX 2008, and 12th international workshop, RANDOM 2008 on Approximation, Randomization and Combinatorial Optimization: Algorithms and Techniques
APPROX '08 / RANDOM '08 Proceedings of the 11th international workshop, APPROX 2008, and 12th international workshop, RANDOM 2008 on Approximation, Randomization and Combinatorial Optimization: Algorithms and Techniques
Solving NP-Complete Problems with Delayed Signals: An Overview of Current Research Directions
OSC '08 Proceedings of the 1st international workshop on Optical SuperComputing
ESA '08 Proceedings of the 16th annual European symposium on Algorithms
Faster Steiner Tree Computation in Polynomial-Space
ESA '08 Proceedings of the 16th annual European symposium on Algorithms
Unification and Matching Modulo Leaf-Permutative Equational Presentations
IJCAR '08 Proceedings of the 4th international joint conference on Automated Reasoning
Synchronizing Automata and the Černý Conjecture
Language and Automata Theory and Applications
Finite Automata, Palindromes, Powers, and Patterns
Language and Automata Theory and Applications
Local Maximal Matching and Local 2-Approximation for Vertex Cover in UDGs
ADHOC-NOW '08 Proceedings of the 7th international conference on Ad-hoc, Mobile and Wireless Networks
Request Satisfaction Problem in Synchronous Radio Networks
ADHOC-NOW '08 Proceedings of the 7th international conference on Ad-hoc, Mobile and Wireless Networks
Optimal Nesting of Species for Exact Cover: Many against Many
Proceedings of the 10th international conference on Parallel Problem Solving from Nature: PPSN X
Improved Lower Limits for Pheromone Trails in Ant Colony Optimization
Proceedings of the 10th international conference on Parallel Problem Solving from Nature: PPSN X
Solving a Telecommunications Feature Subscription Configuration Problem
CP '08 Proceedings of the 14th international conference on Principles and Practice of Constraint Programming
Cost-Based Domain Filtering for Stochastic Constraint Programming
CP '08 Proceedings of the 14th international conference on Principles and Practice of Constraint Programming
A Soft Constraint of Equality: Complexity and Approximability
CP '08 Proceedings of the 14th international conference on Principles and Practice of Constraint Programming
Reformulating Positive Table Constraints Using Functional Dependencies
CP '08 Proceedings of the 14th international conference on Principles and Practice of Constraint Programming
Nearest Neighbour Classification with Monotonicity Constraints
ECML PKDD '08 Proceedings of the 2008 European Conference on Machine Learning and Knowledge Discovery in Databases - Part I
Efficient Frequent Connected Subgraph Mining in Graphs of Bounded Treewidth
ECML PKDD '08 Proceedings of the 2008 European Conference on Machine Learning and Knowledge Discovery in Databases - Part I
Non-uniform Boolean Constraint Satisfaction Problems with Cardinality Constraint
CSL '08 Proceedings of the 22nd international workshop on Computer Science Logic
ICGT '08 Proceedings of the 4th international conference on Graph Transformations
Efficient Broadcasting in Known Geometric Radio Networks with Non-uniform Ranges
DISC '08 Proceedings of the 22nd international symposium on Distributed Computing
Algebraic Attack on HFE Revisited
ISC '08 Proceedings of the 11th international conference on Information Security
Research on Resource Selection with Precedence and Due Date Constraint
ICIC '08 Proceedings of the 4th international conference on Intelligent Computing: Advanced Intelligent Computing Theories and Applications - with Aspects of Artificial Intelligence
Automatic Tracking of Escherichia Coli Bacteria
MICCAI '08 Proceedings of the 11th international conference on Medical Image Computing and Computer-Assisted Intervention - Part I
Autonomous Scheduling with Unbounded and Bounded Agents
MATES '08 Proceedings of the 6th German conference on Multiagent System Technologies
NAPX: A Polynomial Time Approximation Scheme for the Noah's Ark Problem
WABI '08 Proceedings of the 8th international workshop on Algorithms in Bioinformatics
Computing the Minimal Tiling Path from a Physical Map by Integer Linear Programming
WABI '08 Proceedings of the 8th international workshop on Algorithms in Bioinformatics
An Efficient Lagrangian Relaxation for the Contact Map Overlap Problem
WABI '08 Proceedings of the 8th international workshop on Algorithms in Bioinformatics
Enumerating Precursor Sets of Target Metabolites in a Metabolic Network
WABI '08 Proceedings of the 8th international workshop on Algorithms in Bioinformatics
A Logical Approach to Dynamic Role-Based Access Control
AIMSA '08 Proceedings of the 13th international conference on Artificial Intelligence: Methodology, Systems, and Applications
On the Decidability of the Equivalence for k-Valued Transducers
DLT '08 Proceedings of the 12th international conference on Developments in Language Theory
Discovering Local Patterns of Co-evolution
RECOMB-CG '08 Proceedings of the international workshop on Comparative Genomics
A Phylogenetic Approach to Genetic Map Refinement
RECOMB-CG '08 Proceedings of the international workshop on Comparative Genomics
Methods for Increasing Coverage in Wireless Sensor Networks
SEUS '08 Proceedings of the 6th IFIP WG 10.2 international workshop on Software Technologies for Embedded and Ubiquitous Systems
Counting Complexity of Minimal Cardinality and Minimal Weight Abduction
JELIA '08 Proceedings of the 11th European conference on Logics in Artificial Intelligence
Scheduling in a dynamic heterogeneous distributed system using estimation error
Journal of Parallel and Distributed Computing
Generating all maximal induced subgraphs for hereditary and connected-hereditary graph properties
Journal of Computer and System Sciences
The profile of the Cartesian product of graphs
Discrete Applied Mathematics
Note: The Independence Number for De Bruijn networks and Kautz networks
Discrete Applied Mathematics
Note: An improved algorithm for the longest induced path problem on k-chordal graphs
Discrete Applied Mathematics
Note: Efficient edge domination in regular graphs
Discrete Applied Mathematics
Distributed weighted vertex cover via maximal matchings
ACM Transactions on Algorithms (TALG)
Mixed Integer Linear Programming for Maximum-Parsimony Phylogeny Inference
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Learning Balls of Strings from Edit Corrections
The Journal of Machine Learning Research
EMSOFT '08 Proceedings of the 8th ACM international conference on Embedded software
On the bandwidth of 3-dimensional Hamming graphs
Theoretical Computer Science
Theoretical Computer Science
DNA computing approach for automated test pattern generation for digital circuits
International Journal of Systems Science
Competency and preference based personnel scheduling in large assembly lines
International Journal of Computer Integrated Manufacturing - Industrial Engineering and Systems Management
Learning communicative actions of conflicting human agents
Journal of Experimental & Theoretical Artificial Intelligence
Global equilibrium search applied to the unconstrained binary quadratic optimization problem
Optimization Methods & Software
Recognition of interval Boolean functions
Annals of Mathematics and Artificial Intelligence
Latent social structure in open source projects
Proceedings of the 16th ACM SIGSOFT International Symposium on Foundations of software engineering
On the complexity of sequential rectangle placement in IEEE 802.16/WiMAX systems
Information and Computation
Computers and Operations Research
IEEE/ACM Transactions on Networking (TON)
On generating near-optimal tableaux for conditional functional dependencies
Proceedings of the VLDB Endowment
Propagating functional dependencies with conditions
Proceedings of the VLDB Endowment
Efficient network aware search in collaborative tagging sites
Proceedings of the VLDB Endowment
Learning to create data-integrating queries
Proceedings of the VLDB Endowment
Anonymizing bipartite graph data using safe groupings
Proceedings of the VLDB Endowment
Critique graphs for catalogue navigation
Proceedings of the 2008 ACM conference on Recommender systems
An Algebraic Framework for Schema Matching
Informatica
A QoS-aware multicast routing protocol for multimedia applications in mobile ad hoc networks
Proceedings of the 11th international symposium on Modeling, analysis and simulation of wireless and mobile systems
Advances in Engineering Software
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Provably efficient algorithms for resolving temporal and spatial difference constraint violations
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Parallel methods for absolute irreducibility testing
The Journal of Supercomputing
On k-connectivity problems with sharpened triangle inequality
Journal of Discrete Algorithms
Approximability of partitioning graphs with supply and demand
Journal of Discrete Algorithms
Algorithms for computing a parameterized st-orientation
Theoretical Computer Science
Computers and Operations Research
Microprocessors & Microsystems
Efficient techniques for document sanitization
Proceedings of the 17th ACM conference on Information and knowledge management
The expressive power of valued constraints: Hierarchies and collapses
Theoretical Computer Science
Note: The complexity of a minimum reload cost diameter problem
Discrete Applied Mathematics
Parameterized algorithmics for linear arrangement problems
Discrete Applied Mathematics
Efficiency in exponential time for domination-type problems
Discrete Applied Mathematics
Makespan distribution of permutation flowshop schedules
Journal of Scheduling
Approximation Algorithms and Hardness for Domination with Propagation
APPROX '07/RANDOM '07 Proceedings of the 10th International Workshop on Approximation and the 11th International Workshop on Randomization, and Combinatorial Optimization. Algorithms and Techniques
Small Approximate Pareto Sets for Bi-objective Shortest Paths and Other Problems
APPROX '07/RANDOM '07 Proceedings of the 10th International Workshop on Approximation and the 11th International Workshop on Randomization, and Combinatorial Optimization. Algorithms and Techniques
Mining frequent cross-graph quasi-cliques
ACM Transactions on Knowledge Discovery from Data (TKDD)
The multi-facility location-allocation problem with polyhedral barriers
Computers and Operations Research
Radio resource allocation problems for OFDMA cellular systems
Computers and Operations Research
On basic properties of fault-tolerant multi-topology routing
Computer Networks: The International Journal of Computer and Telecommunications Networking
A new framework for the selection of tag SNPs by multimarker haplotypes
Journal of Biomedical Informatics
The complexity of computing a Nash equilibrium
Communications of the ACM - Inspiring Women in Computing
Do not crawl in the DUST: Different URLs with similar text
ACM Transactions on the Web (TWEB)
Journal of the ACM (JACM)
Disclosure Analysis and Control in Statistical Databases
ESORICS '08 Proceedings of the 13th European Symposium on Research in Computer Security: Computer Security
Optimised Search Heuristic Combining Valid Inequalities and Tabu Search
HM '08 Proceedings of the 5th International Workshop on Hybrid Metaheuristics
Integrated floorplanning, module-selection, and architecture generation for reconfigurable devices
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Two-way finite automata with a write-once track
Journal of Automata, Languages and Combinatorics
A graph-theoretic network security game
International Journal of Autonomous and Adaptive Communications Systems
Revisiting multipoint relay selection in the optimized link state routing protocol
International Journal of Communication Networks and Distributed Systems
A new approach for a proxy-level web caching mechanism
Decision Support Systems
Complexity of question/answer games
Theoretical Computer Science
Theoretical Computer Science
Dynamic bin packing of unit fractions items
Theoretical Computer Science
Mutual exclusion scheduling with interval graphs or related classes, Part I
Discrete Applied Mathematics
Layouts for mobility management in wireless ATM networks
Discrete Applied Mathematics
A new lower bound for the single row facility layout problem
Discrete Applied Mathematics
Minimum cycle cover and Chinese postman problems on mixed graphs with bounded tree-width
Discrete Applied Mathematics
Forwarding and optical indices of a graph
Discrete Applied Mathematics
Optimal replica placement in hierarchical Data Grids with locality assurance
Journal of Parallel and Distributed Computing
An improved algorithm for finding a length-constrained maximum-density subtree in a tree
Information Processing Letters
Optimal scheduling of emergency roadway repair and subsequent relief distribution
Computers and Operations Research
Minmax scheduling problems with a common due-window
Computers and Operations Research
Journal of Parallel and Distributed Computing
Inter-domain policy violations in multi-hop overlay routes: Analysis and mitigation
Computer Networks: The International Journal of Computer and Telecommunications Networking
Efficient multicasting over large-scale WLANs through controlled association
Computer Networks: The International Journal of Computer and Telecommunications Networking
Uniform solutions to SAT and 3-SAT by spiking neural P systems with pre-computed resources
Natural Computing: an international journal
Simple ingredients leading to very efficient heuristics for the maximum clique problem
Journal of Heuristics
An Efficiently Computable Graph-Based Metric for the Classification of Small Molecules
DS '08 Proceedings of the 11th International Conference on Discovery Science
Square-Vinegar Signature Scheme
PQCrypto '08 Proceedings of the 2nd International Workshop on Post-Quantum Cryptography
Secure PRNGs from Specialized Polynomial Maps over Any $\mathbb{F}_{q}$
PQCrypto '08 Proceedings of the 2nd International Workshop on Post-Quantum Cryptography
Resource allocation algorithm for light communication grid-based workflows within an SLA context
International Journal of Parallel, Emergent and Distributed Systems
On design of bandwidth scheduling algorithms for multiple data transfers in dedicated networks
Proceedings of the 4th ACM/IEEE Symposium on Architectures for Networking and Communications Systems
Online Balancing Two Independent Criteria
NPC '08 Proceedings of the IFIP International Conference on Network and Parallel Computing
Practical Efficient Modular Linear-Time Model-Checking
ATVA '08 Proceedings of the 6th International Symposium on Automated Technology for Verification and Analysis
Risk Evaluation for Personal Identity Management Based on Privacy Attribute Ontology
ER '08 Proceedings of the 27th International Conference on Conceptual Modeling
Path selection in multi-layer networks
Computer Communications
Plan-Coordination Mechanisms and the Price of Autonomy
Computational Logic in Multi-Agent Systems
A Logic for Reasoning about Rational Agents
Computational Logic in Multi-Agent Systems
WASA '08 Proceedings of the Third International Conference on Wireless Algorithms, Systems, and Applications
New Approximation for Minimum-Weight Routing Backbone in Wireless Sensor Network
WASA '08 Proceedings of the Third International Conference on Wireless Algorithms, Systems, and Applications
Construction of Minimum Connected Dominating Set in 3-Dimensional Wireless Network
WASA '08 Proceedings of the Third International Conference on Wireless Algorithms, Systems, and Applications
P2P design and implementation of a parallel branch and bound algorithm for grids
International Journal of Grid and Utility Computing
Rethinking FTP: Aggressive block reordering for large file transfers
ACM Transactions on Storage (TOS)
VRM: a failure-aware Grid resource management system
International Journal of High Performance Computing and Networking
A comparative runtime analysis of heuristic algorithms for satisfiability problems
Artificial Intelligence
Performance evaluation for implementations of a network of proxy caches
Decision Support Systems
Benchmarking in digital circuit design automation
WSEAS Transactions on Circuits and Systems
Minimal interval completion through graph exploration
Theoretical Computer Science
On the parameterized complexity of multiple-interval graph problems
Theoretical Computer Science
Heuristic Methods for Hypertree Decomposition
MICAI '08 Proceedings of the 7th Mexican International Conference on Artificial Intelligence: Advances in Artificial Intelligence
Using Hyper-heuristics for the Dynamic Variable Ordering in Binary Constraint Satisfaction Problems
MICAI '08 Proceedings of the 7th Mexican International Conference on Artificial Intelligence: Advances in Artificial Intelligence
On the Approximability of Combinatorial Exchange Problems
SAGT '08 Proceedings of the 1st International Symposium on Algorithmic Game Theory
On the Hardness and Existence of Quasi-Strict Equilibria
SAGT '08 Proceedings of the 1st International Symposium on Algorithmic Game Theory
Temporal Reasoning in Nested Temporal Networks with Alternatives
Recent Advances in Constraints
A Secure Message Percolation Scheme for Wireless Sensor Network
Information Networking. Towards Ubiquitous Networking and Services
Automatic Realization of SOA Deployment Patterns in Distributed Environments
ICSOC '08 Proceedings of the 6th International Conference on Service-Oriented Computing
Journal of Network and Systems Management
Transactions on Petri Nets and Other Models of Concurrency I
APLAS '08 Proceedings of the 6th Asian Symposium on Programming Languages and Systems
Clustering Using Class Specific Hyper Graphs
SSPR & SPR '08 Proceedings of the 2008 Joint IAPR International Workshop on Structural, Syntactic, and Statistical Pattern Recognition
Linear Time Constant-Working Space Algorithm for Computing the Genus of a Digital Object
ISVC '08 Proceedings of the 4th International Symposium on Advances in Visual Computing
WSEAS Transactions on Computers
A low-power scheduling tool for system on a chip designs
WSEAS Transactions on Circuits and Systems
An Introduction to Metabolic Networks and Their Structural Analysis
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
New lower bounds for the triplanar assignment problem. Use of the classical model
Automation and Remote Control
Automation and Remote Control
Power in threshold network flow games
Autonomous Agents and Multi-Agent Systems
Compact preference representation and Boolean games
Autonomous Agents and Multi-Agent Systems
Approximate solution of a resource-constrained scheduling problem
Journal of Heuristics
Solving SUBSET SUM by Spiking Neural P Systems with Pre-computed Resources
Fundamenta Informaticae
A Quantum-Inspired Evolutionary Algorithm Based on P systems for Knapsack Problem
Fundamenta Informaticae
On the approximability of minmax (regret) network optimization problems
Information Processing Letters
Coloring triangle-free graphs on surfaces
SODA '09 Proceedings of the twentieth Annual ACM-SIAM Symposium on Discrete Algorithms
Finding shortest contractible and shortest separating cycles in embedded graphs
SODA '09 Proceedings of the twentieth Annual ACM-SIAM Symposium on Discrete Algorithms
Three-coloring triangle-free planar graphs in linear time
SODA '09 Proceedings of the twentieth Annual ACM-SIAM Symposium on Discrete Algorithms
Preferential Regular Path Queries
Fundamenta Informaticae
ACM Transactions on Knowledge Discovery from Data (TKDD)
Analysis of test suite reduction with enhanced tie-breaking techniques
Information and Software Technology
Complexity classes for self-assembling flexible tiles
Theoretical Computer Science
The maximum weight hierarchy matching problem
Information Fusion
The architecture of MANIP: a parallel computer system for solving NP-complete problems
AFIPS '81 Proceedings of the May 4-7, 1981, national computer conference
An accelerating technique for population based algorithms
CompSysTech '08 Proceedings of the 9th International Conference on Computer Systems and Technologies and Workshop for PhD Students in Computing
Approximated consistency for the automatic recording constraint
Computers and Operations Research
Preemptive scheduling in the presence of transportation times
Computers and Operations Research
Finding occurrences of protein complexes in protein-protein interaction graphs
Journal of Discrete Algorithms
Cardinality constrained and multicriteria (multi)cut problems
Journal of Discrete Algorithms
Symmetries and the complexity of pure Nash equilibrium
Journal of Computer and System Sciences
An experimental study of distributed robot coordination
Robotics and Autonomous Systems
The subdivision-constrained minimum spanning tree problem
Theoretical Computer Science
Algorithms for connected set cover problem and fault-tolerant connected set cover problem
Theoretical Computer Science
Single machine parallel-batch scheduling with deteriorating jobs
Theoretical Computer Science
Improved approximation bounds for edge dominating set in dense graphs
Theoretical Computer Science
Note: Testing avoidability on sets of partial words is hard
Theoretical Computer Science
Note: On the inapproximability of independent domination in 2P3-free perfect graphs
Theoretical Computer Science
A comment on parallel-machine scheduling under a grade of service provision to minimize makespan
Information Processing Letters
A randomized approximation algorithm for computing bucket orders
Information Processing Letters
Parallel bioinspired algorithms for NP complete graph problems
Journal of Parallel and Distributed Computing
Scheduling non-uniform data with expected-time constraint in wireless multi-channel environments
Journal of Parallel and Distributed Computing
Scheduling for information gathering on sensor network
Wireless Networks
The Valve Location Problem in Simple Network Topologies
Graph-Theoretic Concepts in Computer Science
Upward Straight-Line Embeddings of Directed Graphs into Point Sets
Graph-Theoretic Concepts in Computer Science
Complexity of the Packing Coloring Problem for Trees
Graph-Theoretic Concepts in Computer Science
On Independent Sets and Bicliques in Graphs
Graph-Theoretic Concepts in Computer Science
Parameterized Graph Cleaning Problems
Graph-Theoretic Concepts in Computer Science
Digraph Decompositions and Monotonicity in Digraph Searching
Graph-Theoretic Concepts in Computer Science
Generalized Extremal Optimization for Solving Multiprocessor Task Scheduling Problem
SEAL '08 Proceedings of the 7th International Conference on Simulated Evolution and Learning
Attributes of Dynamic Combinatorial Optimisation
SEAL '08 Proceedings of the 7th International Conference on Simulated Evolution and Learning
How Hard Is It to Find Extreme Nash Equilibria in Network Congestion Games?
WINE '08 Proceedings of the 4th International Workshop on Internet and Network Economics
WINE '08 Proceedings of the 4th International Workshop on Internet and Network Economics
Detecting Inconsistencies in Large Biological Networks with Answer Set Programming
ICLP '08 Proceedings of the 24th International Conference on Logic Programming
On the Complexity of Obtaining Optimal Watermarking Schemes
IWDW '07 Proceedings of the 6th International Workshop on Digital Watermarking
Hybrid Heuristic for m-Machine No-Wait Flowshops to Minimize Total Completion Time
Computer Supported Cooperative Work in Design IV
Broadcasting in Multi-Radio Multi-Channel and Multi-Hop Wireless Networks
MMNS '07 Proceedings of the 10th IFIP/IEEE International Conference on Management of Multimedia and Mobile Networks and Services: Real-Time Mobile Multimedia Services
Dualities for Constraint Satisfaction Problems
Complexity of Constraints
A Logical Approach to Constraint Satisfaction
Complexity of Constraints
Uniform Constraint Satisfaction Problems and Database Theory
Complexity of Constraints
Cooperation in Multiorganization Matching
Approximation and Online Algorithms
Approximation and Online Algorithms
A $(2 - c \frac{\log {n}}{n})$ Approximation Algorithm for the Minimum Maximal Matching Problem
Approximation and Online Algorithms
On Generating All Maximal Acyclic Subhypergraphs with Polynomial Delay
SOFSEM '09 Proceedings of the 35th Conference on Current Trends in Theory and Practice of Computer Science
On Some SAT-Variants over Linear Formulas
SOFSEM '09 Proceedings of the 35th Conference on Current Trends in Theory and Practice of Computer Science
The Simple Reachability Problem in Switch Graphs
SOFSEM '09 Proceedings of the 35th Conference on Current Trends in Theory and Practice of Computer Science
Unambiguous Erasing Morphisms in Free Monoids
SOFSEM '09 Proceedings of the 35th Conference on Current Trends in Theory and Practice of Computer Science
Flow-Based Real-Time Communication in Multi-Channel Wireless Sensor Networks
EWSN '09 Proceedings of the 6th European Conference on Wireless Sensor Networks
A Local Graph Partitioning Algorithm Using Heat Kernel Pagerank
WAW '09 Proceedings of the 6th International Workshop on Algorithms and Models for the Web-Graph
Cyclic Leveling of Directed Graphs
Graph Drawing
The Generalized Stable Allocation Problem
WALCOM '09 Proceedings of the 3rd International Workshop on Algorithms and Computation
WALCOM '09 Proceedings of the 3rd International Workshop on Algorithms and Computation
Parameterized Complexity of Stabbing Rectangles and Squares in the Plane
WALCOM '09 Proceedings of the 3rd International Workshop on Algorithms and Computation
Sequencing unreliable jobs on parallel machines
Journal of Scheduling
MILP approach to pattern generation in logical analysis of data
Discrete Applied Mathematics
Weighted coloring on planar, bipartite and split graphs: Complexity and approximation
Discrete Applied Mathematics
A column generation heuristic for a dynamic generalized assignment problem
Computers and Operations Research
Detecting outlying properties of exceptional objects
ACM Transactions on Database Systems (TODS)
Parallel algorithm of MCQoS routing based on improved PSRS and binary search
ICAIT '08 Proceedings of the 2008 International Conference on Advanced Infocomm Technology
A polynomial time approximation scheme for timing constrained minimum cost layer assignment
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
Routing for chip-package-board co-design considering differential pairs
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
Fault tolerant placement and defect reconfiguration for nano-FPGAs
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
Noise minimization during power-up stage for a multi-domain power network
Proceedings of the 2009 Asia and South Pacific Design Automation Conference
Refining Phylogenetic Trees Given Additional Data: An Algorithm Based on Parsimony
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Degree-bounded minimum spanning trees
Discrete Applied Mathematics
Computing sharp bounds for hard clustering problems on trees
Discrete Applied Mathematics
Some complexity problems on single input double output controllers
Discrete Applied Mathematics
Concise finite-domain representations for PDDL planning tasks
Artificial Intelligence
Resiliency Policies in Access Control
ACM Transactions on Information and System Security (TISSEC)
A partition-based heuristic for translational box covering
ICCOMP'08 Proceedings of the 12th WSEAS international conference on Computers
Reliable routings in networks with generalized link failure events
IEEE/ACM Transactions on Networking (TON)
On path selection and rate allocation for video in wireless mesh networks
IEEE/ACM Transactions on Networking (TON)
Spatial relationship patterns: elements of reusable tracking and calibration systems
ISMAR '06 Proceedings of the 5th IEEE and ACM International Symposium on Mixed and Augmented Reality
Towards a theory for securing time synchronization in wireless sensor networks
Proceedings of the second ACM conference on Wireless network security
Experimental analysis of optimization techniques on the road passenger transportation problem
Engineering Applications of Artificial Intelligence
Minimizing makespan in a flow shop with two batch-processing machines using simulated annealing
Robotics and Computer-Integrated Manufacturing
RANC: Relay-aided network coding in multi-hop wireless networks
Computer Communications
Information Sciences: an International Journal
Computer Networks: The International Journal of Computer and Telecommunications Networking
Resource aware mapping on coarse grained reconfigurable arrays
Microprocessors & Microsystems
Proceedings of the 2009 international symposium on Physical design
Offline and online aspects of defragmenting the module layout of a partially reconfigurable device
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Dynamically configurable bus topologies for high-performance on-chip communication
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A role-oriented BDI framework for real-time multiagent teaming
Intelligent Decision Technologies
Answering aggregate keyword queries on relational databases using minimal group-bys
Proceedings of the 12th International Conference on Extending Database Technology: Advances in Database Technology
A novel approach for efficient supergraph query processing on graph databases
Proceedings of the 12th International Conference on Extending Database Technology: Advances in Database Technology
A data model for trip planning in multimodal transportation systems
Proceedings of the 12th International Conference on Extending Database Technology: Advances in Database Technology
Fast object search on road networks
Proceedings of the 12th International Conference on Extending Database Technology: Advances in Database Technology
A quasi-polynomial time approximation scheme for minimum weight triangulation
Journal of the ACM (JACM)
Retrieving XML data from heterogeneous sources through vague querying
ACM Transactions on Internet Technology (TOIT)
Maximizing the utilization of operating rooms with stochastic times using simulation
Proceedings of the 40th Conference on Winter Simulation
Power Assignment for Symmetric Communication in Wireless Sensor Networks
International Journal of Distributed Sensor Networks
Mean analysis of an online algorithm for the vertex cover problem
Information Processing Letters
A linearization framework for unconstrained quadratic (0-1) problems
Discrete Applied Mathematics
Patch clustering for massive data sets
Neurocomputing
Exact wirelength of hypercubes on a grid
Discrete Applied Mathematics
Extension problems with degree bounds
Discrete Applied Mathematics
Data aggregation and routing in Wireless Sensor Networks: Optimal and heuristic algorithms
Computer Networks: The International Journal of Computer and Telecommunications Networking
New cryptosystems design based on hybrid-mode problems
Computers and Electrical Engineering
Finding canonical behaviors in user protocols
Proceedings of the SIGCHI Conference on Human Factors in Computing Systems
Implementation of scatter search for multi-objective optimization: a comparative study
Computational Optimization and Applications
Efficient heuristics for wireless network tower placement
Information Technology and Management
A self-stabilizing algorithm for the maximum planarization problem in complete bipartite networks
Information Processing Letters
A note on some collapse results of valued constraints
Information Processing Letters
Generating all sets with bounded unions
Combinatorics, Probability and Computing
A review of current defeasible reasoning implementations
The Knowledge Engineering Review
New NP-Complete Problems Associated with Lattices
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Parallelisation of a multi-neighbourhood local search heuristic for a phylogeny problem
International Journal of Bioinformatics Research and Applications
A Digital Signature Scheme Based on NP-Complete Lattice Problems
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Extracting Communities from Complex Networks by the k-Dense Method
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Longest Path Problems on Ptolemaic Graphs
IEICE - Transactions on Information and Systems
Disappearing Cryptography: Information Hiding: Steganography & Watermarking
Disappearing Cryptography: Information Hiding: Steganography & Watermarking
Nearest-neighbor caching for content-match applications
Proceedings of the 18th international conference on World wide web
SOFIE: a self-organizing framework for information extraction
Proceedings of the 18th international conference on World wide web
Analysis of a simple evolutionary algorithm for the multiobjective shortest path problem
Proceedings of the tenth ACM SIGEVO workshop on Foundations of genetic algorithms
Energy-efficient connected coverage of discrete targets in wireless sensor networks
International Journal of Ad Hoc and Ubiquitous Computing
Combinatorial optimization in system configuration design
Automation and Remote Control
Scheduling with conflicts: online and offline algorithms
Journal of Scheduling
Algorithms for the non-bifurcated network design problem
Journal of Heuristics
Collective bin packing: an active learning exercise
Journal of Computing Sciences in Colleges
A New Datapath Merging Method for Reconfigurable System
ARC '09 Proceedings of the 5th International Workshop on Reconfigurable Computing: Architectures, Tools and Applications
Improved bounds on the throughput efficiency of greedy maximal scheduling in wireless networks
Proceedings of the tenth ACM international symposium on Mobile ad hoc networking and computing
How to correctly use the protocol interference model for multi-hop wireless networks
Proceedings of the tenth ACM international symposium on Mobile ad hoc networking and computing
VNS and second order heuristics for the min-degree constrained minimum spanning tree problem
Computers and Operations Research
Computers and Operations Research
Tank allocation problems in maritime bulk shipping
Computers and Operations Research
The complexity of clique graph recognition
Theoretical Computer Science
Norm statistics and the complexity of clustering problems
Discrete Applied Mathematics
Computing the arrangement of circles on a sphere, with applications in structural biology
Computational Geometry: Theory and Applications
On minimum weight pseudo-triangulations
Computational Geometry: Theory and Applications
An Algebraic Surface Cryptosystem
Irvine Proceedings of the 12th International Conference on Practice and Theory in Public Key Cryptography: PKC '09
Constraint Handling Rules
Descriptional and Computational Complexity of Finite Automata
LATA '09 Proceedings of the 3rd International Conference on Language and Automata Theory and Applications
Matching Trace Patterns with Regular Policies
LATA '09 Proceedings of the 3rd International Conference on Language and Automata Theory and Applications
Connectivity in Multi-interface Networks
Trustworthy Global Computing
A Plasmid Based Transgenetic Algorithm for the Biobjective Minimum Spanning Tree Problem
EvoCOP '09 Proceedings of the 9th European Conference on Evolutionary Computation in Combinatorial Optimization
A Tabu Search Algorithm with Direct Representation for Strip Packing
EvoCOP '09 Proceedings of the 9th European Conference on Evolutionary Computation in Combinatorial Optimization
Improving Performance in Combinatorial Optimisation Using Averaging and Clustering
EvoCOP '09 Proceedings of the 9th European Conference on Evolutionary Computation in Combinatorial Optimization
Staff Scheduling with Particle Swarm Optimisation and Evolution Strategies
EvoCOP '09 Proceedings of the 9th European Conference on Evolutionary Computation in Combinatorial Optimization
EvoWorkshops '09 Proceedings of the EvoWorkshops 2009 on Applications of Evolutionary Computing: EvoCOMNET, EvoENVIRONMENT, EvoFIN, EvoGAMES, EvoHOT, EvoIASP, EvoINTERACTION, EvoMUSART, EvoNUM, EvoSTOC, EvoTRANSLOG
Clustering with Lower Bound on Similarity
PAKDD '09 Proceedings of the 13th Pacific-Asia Conference on Advances in Knowledge Discovery and Data Mining
Efficient Edge-Finding on Unary Resources with Optional Activities
Applications of Declarative Programming and Knowledge Management
An Elitist GRASP Metaheuristic for the Multi-objective Quadratic Assignment Problem
EMO '09 Proceedings of the 5th International Conference on Evolutionary Multi-Criterion Optimization
Updating recursive XML views of relations
Journal of Computer Science and Technology
Research on next-generation scalable routers implemented with H-Torus topology
Journal of Computer Science and Technology
Digitization scheme that assures faithful reconstruction of plane figures
Pattern Recognition
Solving Nonograms by combining relaxations
Pattern Recognition
On sensor network reconfiguration for downtime-free system migrations
Proceedings of the 5th International ICST Conference on Heterogeneous Networking for Quality, Reliability, Security and Robustness
Efficient content distribution in wireless P2P networks
Proceedings of the 5th International ICST Conference on Heterogeneous Networking for Quality, Reliability, Security and Robustness
QoS-guaranteed path selection algorithm for service composition
Proceedings of the 5th International ICST Conference on Heterogeneous Networking for Quality, Reliability, Security and Robustness
Monotone Covering Problems with an Additional Covering Constraint
Mathematics of Operations Research
On Optimal Solutions for the Optimal Communication Spanning Tree Problem
Operations Research
Reasoning about temporal properties of rational play
Annals of Mathematics and Artificial Intelligence
An improved constant-time approximation algorithm for maximum~matchings
Proceedings of the forty-first annual ACM symposium on Theory of computing
Small-size ε-nets for axis-parallel rectangles and boxes
Proceedings of the forty-first annual ACM symposium on Theory of computing
Boolean satisfiability from theoretical hardness to practical success
Communications of the ACM - A Blind Person's Interaction with Technology
Cross-entropy based data association for multi target tracking
Proceedings of the 3rd International Conference on Performance Evaluation Methodologies and Tools
Computers & Mathematics with Applications
A mathematical program to refine gene regulatory networks
Discrete Applied Mathematics
Consistency of the QNet algorithm for generating planar split networks from weighted quartets
Discrete Applied Mathematics
Discrete Applied Mathematics
Numerical characterization of n-cube subset partitioning
Discrete Applied Mathematics
Square, a New Multivariate Encryption Scheme
CT-RSA '09 Proceedings of the The Cryptographers' Track at the RSA Conference 2009 on Topics in Cryptology
Security Analysis of Multivariate Polynomials for Hashing
Information Security and Cryptology
Querying Protein-Protein Interaction Networks
ISBRA '09 Proceedings of the 5th International Symposium on Bioinformatics Research and Applications
Multiple Part-Type Production in Robotic Cells: Equivalence of Two Real-World Models
Manufacturing & Service Operations Management
Anonymization-based attacks in privacy-preserving data publishing
ACM Transactions on Database Systems (TODS)
An approximation algorithm for a bottleneck traveling salesman problem
Journal of Discrete Algorithms
Tighter approximation bounds for LPT scheduling in two special cases
Journal of Discrete Algorithms
Journal of Discrete Algorithms
Neuro-immune approach to solve routing problems
Neurocomputing
A note on generalized rank aggregation
Information Processing Letters
On the algorithmic complexity of the Mastermind game with black-peg results
Information Processing Letters
On two open problems of 2-interval patterns
Theoretical Computer Science
An improved approximation algorithm for the ATSP with parameterized triangle inequality
Journal of Algorithms
On parameterized exponential time complexity
Theoretical Computer Science
Incorporating cardinality constraints and synonym rules into conditional functional dependencies
Information Processing Letters
On packing shortest cycles in graphs
Information Processing Letters
A survey on metaheuristics for stochastic combinatorial optimization
Natural Computing: an international journal
Solving the subset-sum problem with a light-based device
Natural Computing: an international journal
Scenario reduction in stochastic programming with respect to discrepancy distances
Computational Optimization and Applications
Interval-parameter optimization problems on graphs
Cybernetics and Systems Analysis
Subclasses of solvable problems from classes of combinatorial optimization problems
Cybernetics and Systems Analysis
A virtual pegging approach to the max-min optimization of the bi-criteria knapsack problem
International Journal of Computer Mathematics
Squarepants in a tree: Sum of subtree clustering and hyperbolic pants decomposition
ACM Transactions on Algorithms (TALG)
PTAS for geometric hitting set problems via local search
Proceedings of the twenty-fifth annual symposium on Computational geometry
Near-linear approximation algorithms for geometric hitting sets
Proceedings of the twenty-fifth annual symposium on Computational geometry
The Euclidean degree-4 minimum spanning tree problem is NP-hard
Proceedings of the twenty-fifth annual symposium on Computational geometry
A compiler optimization to reduce soft errors in register files
Proceedings of the 2009 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Self-deployment of sensors for maximized coverage in underwater acoustic sensor networks
Computer Communications
Parameterized matching on non-linear structures
Information Processing Letters
Scan-chain design and optimization for three-dimensional integrated circuits
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Modeling and extending lifetime of wireless sensor networks using genetic algorithm
Proceedings of the first ACM/SIGEVO Summit on Genetic and Evolutionary Computation
A bounded diameter minimum spanning tree evolutionaryalgorithm based on double chromosome
Proceedings of the first ACM/SIGEVO Summit on Genetic and Evolutionary Computation
Bumblebees: a multiagent combinatorial optimization algorithm inspired by social insect behaviour
Proceedings of the first ACM/SIGEVO Summit on Genetic and Evolutionary Computation
Edge-RMP: Minimizing administrative assignments for role-based access control
Journal of Computer Security
Location problems optimization by a self-organizing multiagent approach
Multiagent and Grid Systems - Engineering Environments in Multiagent Systems
Scheduling identical parallel machines and operators within a period based changing mode
Computers and Operations Research
Tight bounds from a path based formulation for the tree of hub location problem
Computers and Operations Research
A probabilistic plan recognition algorithm based on plan tree grammars
Artificial Intelligence
Security in collaborative multimedia art communities
Proceedings of the 2009 Euro American Conference on Telematics and Information Systems: New Opportunities to increase Digital Citizenship
Expressing combinatorial problems by systems of polynomial equations and hilbert's nullstellensatz
Combinatorics, Probability and Computing
Multiple routing configurations for fast IP network recovery
IEEE/ACM Transactions on Networking (TON)
Resource augmented semi-online bounded space bin packing
Discrete Applied Mathematics
Broadcasting from multiple originators
Discrete Applied Mathematics
Partitioning graphs of supply and demand
Discrete Applied Mathematics
A Survey on One-Hop Clustering Algorithms in Mobile Ad Hoc Networks
Journal of Network and Systems Management
Low-overhead scheduling algorithms for OFDMA relay networks
Proceedings of the 4th Annual International Conference on Wireless Internet
Verification Techniques for System-Level Design
Verification Techniques for System-Level Design
Complete and accurate clone detection in graph-based models
ICSE '09 Proceedings of the 31st International Conference on Software Engineering
Challenges for context management systems imposed by context inference
MUCS '09 Proceedings of the 6th international workshop on Managing ubiquitous communications and services
ACM SIGACT News
A Column Generation Algorithm for Choice-Based Network Revenue Management
Operations Research
Enhanced P-Sensitive K-Anonymity Models for Privacy Preserving Data Publishing
Transactions on Data Privacy
A repartitioning hypergraph model for dynamic load balancing
Journal of Parallel and Distributed Computing
A combinatorial algorithm for the TDMA message scheduling problem
Computational Optimization and Applications
On compressing social networks
Proceedings of the 15th ACM SIGKDD international conference on Knowledge discovery and data mining
Collective annotation of Wikipedia entities in web text
Proceedings of the 15th ACM SIGKDD international conference on Knowledge discovery and data mining
Learning patterns in the dynamics of biological networks
Proceedings of the 15th ACM SIGKDD international conference on Knowledge discovery and data mining
A local algorithm for dominating sets of quasi-unit disk graphs
C3S2E '09 Proceedings of the 2nd Canadian Conference on Computer Science and Software Engineering
Broadcasting in necklace graphs
C3S2E '09 Proceedings of the 2nd Canadian Conference on Computer Science and Software Engineering
Proceedings of The 8th International Conference on Autonomous Agents and Multiagent Systems - Volume 1
Easy and hard coalition resource game formation problems: a parameterized complexity analysis
Proceedings of The 8th International Conference on Autonomous Agents and Multiagent Systems - Volume 1
The learnability of voting rules
Artificial Intelligence
Approximate belief updating in max-2-connected Bayes networks is NP-hard
Artificial Intelligence
Weakly connected dominating set-based secure clustering and operation in distributed sensor networks
International Journal of Communication Networks and Distributed Systems
A framework for testing query transformation rules
Proceedings of the 2009 ACM SIGMOD International Conference on Management of data
Dimensioning and Location Planning for Wireless Networks under Multi-level Cooperative Relaying
NETWORKING '09 Proceedings of the 8th International IFIP-TC 6 Networking Conference
Approximability and Fixed-Parameter Tractability for the Exemplar Genomic Distance Problems
TAMC '09 Proceedings of the 6th Annual Conference on Theory and Applications of Models of Computation
A Quadratic Kernel for 3-Set Packing
TAMC '09 Proceedings of the 6th Annual Conference on Theory and Applications of Models of Computation
On Parameterized Exponential Time Complexity
TAMC '09 Proceedings of the 6th Annual Conference on Theory and Applications of Models of Computation
Linear Kernel for Planar Connected Dominating Set
TAMC '09 Proceedings of the 6th Annual Conference on Theory and Applications of Models of Computation
On the Complexity of the Multiple Stack TSP, kSTSP
TAMC '09 Proceedings of the 6th Annual Conference on Theory and Applications of Models of Computation
On the Tractability of Maximal Strip Recovery
TAMC '09 Proceedings of the 6th Annual Conference on Theory and Applications of Models of Computation
RECOMB 2'09 Proceedings of the 13th Annual International Conference on Research in Computational Molecular Biology
Covering of problem in wireless sensor networks
TELE-INFO'09 Proceedings of the 8th Wseas international conference on Telecommunications and informatics
The status of the P versus NP problem
Communications of the ACM - The Status of the P versus NP Problem
Complexity Properties of Restricted Abstract Argument Systems
Proceedings of the 2006 conference on Computational Models of Argument: Proceedings of COMMA 2006
Managing Co-reference Knowledge for Data Integration
Proceedings of the 2009 conference on Information Modelling and Knowledge Bases XX
The three-hub center allocation problem
Proceedings of the 47th Annual Southeast Regional Conference
Towards Automatic Model Generation by Optimization
Proceedings of the 2008 conference on Tenth Scandinavian Conference on Artificial Intelligence: SCAI 2008
A Study on the Short-Term Prohibition Mechanisms in Tabu Search
Proceedings of the 2006 conference on ECAI 2006: 17th European Conference on Artificial Intelligence August 29 -- September 1, 2006, Riva del Garda, Italy
Distributed Log-based Reconciliation
Proceedings of the 2006 conference on ECAI 2006: 17th European Conference on Artificial Intelligence August 29 -- September 1, 2006, Riva del Garda, Italy
Compact Representation of Sets of Binary Constraints
Proceedings of the 2006 conference on ECAI 2006: 17th European Conference on Artificial Intelligence August 29 -- September 1, 2006, Riva del Garda, Italy
Semantics and complexity of SPARQL
ACM Transactions on Database Systems (TODS)
Prototype-based Domain Description
Proceedings of the 2008 conference on ECAI 2008: 18th European Conference on Artificial Intelligence
Qos-based design method for constraint device based applications
Proceedings of the 4th international workshop on Services integration in pervasive environments
On the minimum corridor connection problem and other generalized geometric problems
Computational Geometry: Theory and Applications
On the annihilation number of a graph
AMATH'09 Proceedings of the 15th american conference on Applied mathematics
Delay aware link scheduling for multi-hop TDMA wireless networks
IEEE/ACM Transactions on Networking (TON)
New insights into the OCST problem: integrating node degrees and their location in the graph
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Tunneling between optima: partition crossover for the traveling salesman problem
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
A search space analysis for the waste collection vehicle routing problem with time windows
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Vertex fusion under distance constraints
European Journal of Combinatorics
A sufficient condition for pancyclic graphs
Information Processing Letters
Data Gathering Schedule for Minimal Aggregation Time in Wireless Sensor Networks
International Journal of Distributed Sensor Networks
Evolutionary Fuzzy Scheduler for Grid Computing
IWANN '09 Proceedings of the 10th International Work-Conference on Artificial Neural Networks: Part I: Bio-Inspired Systems: Computational and Ambient Intelligence
Complexity and Cautiousness Results for Reasoning from Partially Preordered Belief Bases
ECSQARU '09 Proceedings of the 10th European Conference on Symbolic and Quantitative Approaches to Reasoning with Uncertainty
Rough Sets and Functional Dependencies in Data: Foundations of Association Reducts
Transactions on Computational Science V
Efficiently Generating k-Best Solutions to Procurement Auctions
AAIM '09 Proceedings of the 5th International Conference on Algorithmic Aspects in Information and Management
Algorithms for Placing Monitors in a Flow Network
AAIM '09 Proceedings of the 5th International Conference on Algorithmic Aspects in Information and Management
A PTAS for Node-Weighted Steiner Tree in Unit Disk Graphs
COCOA '09 Proceedings of the 3rd International Conference on Combinatorial Optimization and Applications
Variable-Size Rectangle Covering
COCOA '09 Proceedings of the 3rd International Conference on Combinatorial Optimization and Applications
Bicriteria Scheduling on Single-Machine with Inventory Operations
COCOA '09 Proceedings of the 3rd International Conference on Combinatorial Optimization and Applications
Makespan Minimization with Machine Availability Constraints
COCOA '09 Proceedings of the 3rd International Conference on Combinatorial Optimization and Applications
Recoverable Robust Timetables on Trees
COCOA '09 Proceedings of the 3rd International Conference on Combinatorial Optimization and Applications
Automated Architecture Consistency Checking for Model Driven Software Development
QoSA '09 Proceedings of the 5th International Conference on the Quality of Software Architectures: Architectures for Adaptive Software Systems
Optimal Length Resolution Refutations of Difference Constraint Systems
Journal of Automated Reasoning
Shortest Path and Maximum Flow Problems in Networks with Additive Losses and Gains
FAW '09 Proceedings of the 3d International Workshop on Frontiers in Algorithmics
Caching in the TSP Search Space
IEA/AIE '09 Proceedings of the 22nd International Conference on Industrial, Engineering and Other Applications of Applied Intelligent Systems: Next-Generation Applied Intelligence
A Survey on Approximation Algorithms for Scheduling with Machine Unavailability
Algorithmics of Large and Complex Networks
Semilinear Program Feasibility
ICALP '09 Proceedings of the 36th Internatilonal Collogquium on Automata, Languages and Programming: Part II
A Simulated Annealing Enhancement of the Best-Fit Heuristic for the Orthogonal Stock-Cutting Problem
INFORMS Journal on Computing
Traffic monitor deployment in IP networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Weighted A∗ search -- unifying view and application
Artificial Intelligence
Quality-of-service provisioning via stochastic path selection under Weibullian link delays
The Fourth International Conference on Heterogeneous Networking for Quality, Reliability, Security and Robustness & Workshops
The Tile Complexity of Linear Assemblies
ICALP '09 Proceedings of the 36th International Colloquium on Automata, Languages and Programming: Part I
Incompressibility through Colors and IDs
ICALP '09 Proceedings of the 36th International Colloquium on Automata, Languages and Programming: Part I
ICALP '09 Proceedings of the 36th International Colloquium on Automata, Languages and Programming: Part I
Minimizing Energy Consumption for Precedence-Constrained Applications Using Dynamic Voltage Scaling
CCGRID '09 Proceedings of the 2009 9th IEEE/ACM International Symposium on Cluster Computing and the Grid
Resource Allocation Using Virtual Clusters
CCGRID '09 Proceedings of the 2009 9th IEEE/ACM International Symposium on Cluster Computing and the Grid
Topology management for public safety networks
Proceedings of the 2009 International Conference on Wireless Communications and Mobile Computing: Connecting the World Wirelessly
Proceedings of the 28th ACM symposium on Principles of distributed computing
Mapping filtering streaming applications with communication costs
Proceedings of the twenty-first annual symposium on Parallelism in algorithms and architectures
On the complexity of the regenerator placement problem in optical networks
Proceedings of the twenty-first annual symposium on Parallelism in algorithms and architectures
Buffer management for colored packets with deadlines
Proceedings of the twenty-first annual symposium on Parallelism in algorithms and architectures
EURASIP Journal on Wireless Communications and Networking - Special issue on advances in propagation modelling for wireless systems
A virtual network mapping algorithm based on subgraph isomorphism detection
Proceedings of the 1st ACM workshop on Virtualized infrastructure systems and architectures
A distributed algorithmic framework for coverage problems in wireless sensor networks
International Journal of Parallel, Emergent and Distributed Systems - Advances in Parallel and Distributed Computational Models
The complexity of constraint satisfaction games and QCSP
Information and Computation
Isolation concepts for efficiently enumerating dense subgraphs
Theoretical Computer Science
Parallel-machine scheduling of simple linear deteriorating jobs
Theoretical Computer Science
On the complexity of constrained Nash equilibria in graphical games
Theoretical Computer Science
Computers and Operations Research
Floodlight illumination of infinite wedges
Computational Geometry: Theory and Applications
Optimizing local area networks using genetic algorithms
GECCO '96 Proceedings of the 1st annual conference on Genetic and evolutionary computation
Optimal Real-Time Traffic Control in Metro Stations
Operations Research
Data replication optimisation in grid delivery network
International Journal of Grid and Utility Computing
Design & Implementation of Real-time Parallel GA Operators on the IBM Cell Processor
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
FPGA placement using space-filling curves: Theory meets practice
ACM Transactions on Embedded Computing Systems (TECS)
Approximation algorithms for data placement on parallel disks
ACM Transactions on Algorithms (TALG)
Energy efficient application mapping to NoC processing elements operating at multiple voltage levels
NOCS '09 Proceedings of the 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip
TPBOSCourier: a transportation procurement system (for the procurement of courier services)
IAAI'06 Proceedings of the 18th conference on Innovative applications of artificial intelligence - Volume 2
On odd and even cycles in normal logic programs
AAAI'04 Proceedings of the 19th national conference on Artifical intelligence
The complexity of global constraints
AAAI'04 Proceedings of the 19th national conference on Artifical intelligence
MAX-2-SAT: how good is Tabu search in the worst-case?
AAAI'04 Proceedings of the 19th national conference on Artifical intelligence
Local-search techniques for boolean combinations of pseudo-boolean constraints
AAAI'06 Proceedings of the 21st national conference on Artificial intelligence - Volume 1
Mining globally distributed frequent subgraphs in a single labeled graph
Data & Knowledge Engineering
A parallel algorithm for generating bicompatible elimination orderings of proper interval graphs
Information Processing Letters
SRF coloring: stream register file allocation via graph coloring
Journal of Computer Science and Technology
Mathematics of Operations Research
Efficient Suboptimal Graph Isomorphism
GbRPR '09 Proceedings of the 7th IAPR-TC-15 International Workshop on Graph-Based Representations in Pattern Recognition
On Computing Canonical Subsets of Graph-Based Behavioral Representations
GbRPR '09 Proceedings of the 7th IAPR-TC-15 International Workshop on Graph-Based Representations in Pattern Recognition
A Fast Approximation Algorithm for the k Partition-Distance Problem
ICCSA '09 Proceedings of the International Conference on Computational Science and Its Applications: Part II
Bid evaluation in combinatorial auctions: optimization and learning
Software—Practice & Experience
Coordinate Assignment for Cyclic Level Graphs
COCOON '09 Proceedings of the 15th Annual International Conference on Computing and Combinatorics
Crossing-Optimal Acyclic HP-Completion for Outerplanar st-Digraphs
COCOON '09 Proceedings of the 15th Annual International Conference on Computing and Combinatorics
Computing Bond Types in Molecule Graphs
COCOON '09 Proceedings of the 15th Annual International Conference on Computing and Combinatorics
Enforcing Confidentiality Constraints on Sensitive Databases with Lightweight Trusted Clients
Proceedings of the 23rd Annual IFIP WG 11.3 Working Conference on Data and Applications Security XXIII
An Improved SAT Algorithm in Terms of Formula Length
WADS '09 Proceedings of the 11th International Symposium on Algorithms and Data Structures
Approximation Algorithms for Buy-at-Bulk Geometric Network Design
WADS '09 Proceedings of the 11th International Symposium on Algorithms and Data Structures
WADS '09 Proceedings of the 11th International Symposium on Algorithms and Data Structures
On Reconfiguration of Disks in the Plane and Related Problems
WADS '09 Proceedings of the 11th International Symposium on Algorithms and Data Structures
Topology control for delay-constraint data collection in wireless sensor networks
Computer Communications
Parameterized graph cleaning problems
Discrete Applied Mathematics
Computers and Operations Research
Complexity of Existential Positive First-Order Logic
CiE '09 Proceedings of the 5th Conference on Computability in Europe: Mathematical Theory and Computational Practice
Reachability in Succinct and Parametric One-Counter Automata
CONCUR 2009 Proceedings of the 20th International Conference on Concurrency Theory
Bayesian Optimization Algorithm for the Non-unique Oligonucleotide Probe Selection Problem
PRIB '09 Proceedings of the 4th IAPR International Conference on Pattern Recognition in Bioinformatics
High-Performance Local Search for Task Scheduling with Human Resource Allocation
SLS '09 Proceedings of the Second International Workshop on Engineering Stochastic Local Search Algorithms. Designing, Implementing and Analyzing Effective Heuristics
A Memetic Algorithm for the Multidimensional Assignment Problem
SLS '09 Proceedings of the Second International Workshop on Engineering Stochastic Local Search Algorithms. Designing, Implementing and Analyzing Effective Heuristics
Improved Robustness through Population Variance in Ant Colony Optimization
SLS '09 Proceedings of the Second International Workshop on Engineering Stochastic Local Search Algorithms. Designing, Implementing and Analyzing Effective Heuristics
An Analysis of NP-Completeness in Novelty and Diversity Ranking
ICTIR '09 Proceedings of the 2nd International Conference on Theory of Information Retrieval: Advances in Information Retrieval Theory
Scheduling mixed-parallel applications with advance reservations
Cluster Computing
Compositional, Dynamic Cache Management for Embedded Chip Multiprocessors
Journal of Signal Processing Systems
A cross entropy based algorithm for reliability problems
Journal of Heuristics
Models and heuristic algorithms for a weighted vertex coloring problem
Journal of Heuristics
A solution method for a car fleet management problem with maintenance constraints
Journal of Heuristics
On codeword design in metric DNA spaces
Natural Computing: an international journal
Frequency-aware rate adaptation and MAC protocols
Proceedings of the 15th annual international conference on Mobile computing and networking
Optimal base station placement in wireless sensor networks
ACM Transactions on Sensor Networks (TOSN)
Graph Theory, Computational Intelligence and Thought
Local Search Heuristics for the Multidimensional Assignment Problem
Graph Theory, Computational Intelligence and Thought
Partitioning Graphs into Connected Parts
CSR '09 Proceedings of the Fourth International Computer Science Symposium in Russia on Computer Science - Theory and Applications
Lookahead Expansion Algorithm for Minimum Power Multicasting in Wireless Ad Hoc Networks
WASA '09 Proceedings of the 4th International Conference on Wireless Algorithms, Systems, and Applications
Real-Time Message Routing and Scheduling
APPROX '09 / RANDOM '09 Proceedings of the 12th International Workshop and 13th International Workshop on Approximation, Randomization, and Combinatorial Optimization. Algorithms and Techniques
Minimizing Average Shortest Path Distances via Shortcut Edge Addition
APPROX '09 / RANDOM '09 Proceedings of the 12th International Workshop and 13th International Workshop on Approximation, Randomization, and Combinatorial Optimization. Algorithms and Techniques
SPIRE '09 Proceedings of the 16th International Symposium on String Processing and Information Retrieval
The Graph Programming Language GP
CAI '09 Proceedings of the 3rd International Conference on Algebraic Informatics
APPT '09 Proceedings of the 8th International Symposium on Advanced Parallel Processing Technologies
Scheduling Recurrent Precedence-Constrained Task Graphs on a Symmetric Shared-Memory Multiprocessor
Euro-Par '09 Proceedings of the 15th International Euro-Par Conference on Parallel Processing
A Self-stabilizing K-Clustering Algorithm Using an Arbitrary Metric
Euro-Par '09 Proceedings of the 15th International Euro-Par Conference on Parallel Processing
Analyses and Validation of Conditional Dependencies with Built-in Predicates
DEXA '09 Proceedings of the 20th International Conference on Database and Expert Systems Applications
Three Approximation Algorithms for Energy-Efficient Query Dissemination in Sensor Database System
DEXA '09 Proceedings of the 20th International Conference on Database and Expert Systems Applications
A Distributed Exact Solution to Compute Inter-domain Multi-constrained Paths
EUNICE '09 Proceedings of the 15th Open European Summer School and IFIP TC6.6 Workshop on The Internet of the Future
Discovering Emerging Graph Patterns from Chemicals
ISMIS '09 Proceedings of the 18th International Symposium on Foundations of Intelligent Systems
Selecting Computer Architectures by Means of Control-Flow-Graph Mining
IDA '09 Proceedings of the 8th International Symposium on Intelligent Data Analysis: Advances in Intelligent Data Analysis VIII
Many-to-Many Matching under the l1 Norm
ICIAP '09 Proceedings of the 15th International Conference on Image Analysis and Processing
On Minimizing the Maximum Sensor Movement for Barrier Coverage of a Line Segment
ADHOC-NOW '09 Proceedings of the 8th International Conference on Ad-Hoc, Mobile and Wireless Networks
Lifetime Maximization Based on Coverage and Connectivity in Wireless Sensor Networks
Journal of Signal Processing Systems
On the placement of infrastructure overlay nodes
IEEE/ACM Transactions on Networking (TON)
Decidable reasoning in terminological knowledge representation systems
Journal of Artificial Intelligence Research
Some theoretical challenges in digital geometry: A perspective
Discrete Applied Mathematics
Team member reallocation via tree pruning
AAAI'05 Proceedings of the 20th national conference on Artificial intelligence - Volume 1
Anyone but him: the complexity of precluding an alternative
AAAI'05 Proceedings of the 20th national conference on Artificial intelligence - Volume 1
Backbones and backdoors in satisfiability
AAAI'05 Proceedings of the 20th national conference on Artificial intelligence - Volume 3
Centralized, distributed or something else? making timely decisions in multi-agent systems
AAAI'07 Proceedings of the 22nd national conference on Artificial intelligence - Volume 1
Approximability of manipulating elections
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 1
Value-based policy teaching with active indirect elicitation
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 1
Manipulating the quota in weighted voting games
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 1
Exploiting symmetries in POMDPs for point-based algorithms
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 2
Efficient optimization of information-theoretic exploration in SLAM
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 3
Symmetric matroid polytopes and their generation
European Journal of Combinatorics
Computation and communication schedule optimization for data-sharing tasks on uniprocessor
Journal of Systems Architecture: the EUROMICRO Journal
Decomposition, reformulation, and diving in university course timetabling
Computers and Operations Research
Exploiting image descriptions for the generation of referring expressions
ReferringPhenomena '97 Referring Phenomena in a Multimedia Context and their Computational Treatment
Randomized algorithms for the loop cutset problem
Journal of Artificial Intelligence Research
On the compilability and expressive power of propositional planning formalisms
Journal of Artificial Intelligence Research
Journal of Artificial Intelligence Research
Space efficiency of propositional knowledge representation formalisms
Journal of Artificial Intelligence Research
From approximate to optimal solutions: constructing pruning and propagation rules
IJCAI'97 Proceedings of the Fifteenth international joint conference on Artifical intelligence - Volume 2
An approximate 0-1 edge-labeling algorithm for constrained bin-packing problem
IJCAI'97 Proceedings of the Fifteenth international joint conference on Artifical intelligence - Volume 2
Qualitative temporal reasoning with points and durations
IJCAI'97 Proceedings of the Fifteenth international joint conference on Artifical intelligence - Volume 2
Acquiring word-meaning mappings for natural language interfaces
Journal of Artificial Intelligence Research
A new general method to generate random modal formulae for testing decision procedures
Journal of Artificial Intelligence Research
Decision-theoretic bidding based on learned density models in simultaneous, interacting auctions
Journal of Artificial Intelligence Research
Generalizing Boolean satisfiability I: background and survey of existing work
Journal of Artificial Intelligence Research
Phase transitions and backbones of the asymmetric traveling salesman problem
Journal of Artificial Intelligence Research
On polynomial sized MDP succinct policies
Journal of Artificial Intelligence Research
Journal of Artificial Intelligence Research
Additive pattern database heuristics
Journal of Artificial Intelligence Research
Pure Nash equilibria: hard and easy games
Journal of Artificial Intelligence Research
Dynamic local search for the maximum clique problem
Journal of Artificial Intelligence Research
Fault tolerant Boolean satisfiability
Journal of Artificial Intelligence Research
The fast downward planning system
Journal of Artificial Intelligence Research
Breaking instance-independent symmetries in exact graph coloring
Journal of Artificial Intelligence Research
Resource allocation among agents with MDP-induced preferences
Journal of Artificial Intelligence Research
Proactive algorithms for job shop scheduling with probabilistic durations
Journal of Artificial Intelligence Research
Solution-guided multi-point constructive search for job shop scheduling
Journal of Artificial Intelligence Research
Translating between Horn representations and their characteristic models
Journal of Artificial Intelligence Research
Loosely coupled formulations for automated planning: an integer programming perspective
Journal of Artificial Intelligence Research
New Islands of tractability of cost-optimal planning
Journal of Artificial Intelligence Research
Quantum computing and phase transitions in combinatorial search
Journal of Artificial Intelligence Research
A formal framework for speedup learning from problems and solutions
Journal of Artificial Intelligence Research
Incremental recompilation of knowledge
Journal of Artificial Intelligence Research
Tractability of theory patching
Journal of Artificial Intelligence Research
Learning first-order definitions of functions
Journal of Artificial Intelligence Research
Journal of Artificial Intelligence Research
ATTac-2000: an adaptive autonomous bidding agent
Journal of Artificial Intelligence Research
Finding a path is harder than finding a tree
Journal of Artificial Intelligence Research
Solving highly constrained search problems with quantum computers
Journal of Artificial Intelligence Research
Probabilistic deduction with conditional constraints over basic events
Journal of Artificial Intelligence Research
Journal of Artificial Intelligence Research
Journal of Artificial Intelligence Research
Minimizing response times in real time planning and search
IJCAI'89 Proceedings of the 11th international joint conference on Artificial intelligence - Volume 1
Incorporating redundant learned rules: a preliminary formal analysis of EBL
IJCAI'89 Proceedings of the 11th international joint conference on Artificial intelligence - Volume 1
The complexity of perceptual search tasks
IJCAI'89 Proceedings of the 11th international joint conference on Artificial intelligence - Volume 2
The complexity of nested counterfactuals and iterated knowledge base revisions
IJCAI'93 Proceedings of the 13th international joint conference on Artifical intelligence - Volume 1
On the hardness of approximate reasoning
IJCAI'93 Proceedings of the 13th international joint conference on Artifical intelligence - Volume 1
IJCAI'93 Proceedings of the 13th international joint conference on Artifical intelligence - Volume 1
Default inheritance reasoning in hybrid KL-ONE-style logics
IJCAI'93 Proceedings of the 13th international joint conference on Artifical intelligence - Volume 1
Abstraction via approximate symmetry
IJCAI'93 Proceedings of the 13th international joint conference on Artifical intelligence - Volume 2
The competence of sub-optimal theories of structure mapping on hard analogies
IJCAI'97 Proceedings of the 15th international joint conference on Artifical intelligence - Volume 1
Remembering to add: competence-preserving case-addition policies for case-base maintenance
IJCAI'99 Proceedings of the 16th international joint conference on Artifical intelligence - Volume 1
A comparison of structural CSP decomposition methods
IJCAI'99 Proceedings of the 16th international joint conference on Artifical intelligence - Volume 1
Maximal tractable fragments of the region connection calculus: a complete analysis
IJCAI'99 Proceedings of the 16th international joint conference on Artifical intelligence - Volume 1
Towards efficient metaquerying
IJCAI'99 Proceedings of the 16th international joint conference on Artificial intelligence - Volume 2
Techniques for efficient interactive configuration of distribution networks
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
From approximate to optimal solutions: a case study of number partitioning
IJCAI'95 Proceedings of the 14th international joint conference on Artificial intelligence - Volume 1
On the complexity of extending ground resolution with symmetry rules
IJCAI'95 Proceedings of the 14th international joint conference on Artificial intelligence - Volume 1
Towards efficient default reasoning
IJCAI'95 Proceedings of the 14th international joint conference on Artificial intelligence - Volume 1
Stratified case-based reasoning: reusing hierarchical problem solving episodes
IJCAI'95 Proceedings of the 14th international joint conference on Artificial intelligence - Volume 1
Task allocation via coalition formation among autonomous agents
IJCAI'95 Proceedings of the 14th international joint conference on Artificial intelligence - Volume 1
Social dilemmas in computational ecosystems
IJCAI'95 Proceedings of the 14th international joint conference on Artificial intelligence - Volume 1
The comparative linguistics of knowledge representation
IJCAI'95 Proceedings of the 14th international joint conference on Artificial intelligence - Volume 1
Computational complexity of hypothesis assembly
IJCAI'87 Proceedings of the 10th international joint conference on Artificial intelligence - Volume 2
How is meaning grounded in dictionary definitions?
TextGraphs-3 Proceedings of the 3rd Textgraphs Workshop on Graph-Based Algorithms for Natural Language Processing
Polynomial-time solvability of the maximum clique problem
ECC'09 Proceedings of the 3rd international conference on European computing conference
Theoretical Computer Science
On problems without polynomial kernels
Journal of Computer and System Sciences
Modular static scheduling of synchronous data-flow networks: an efficient symbolic representation
EMSOFT '09 Proceedings of the seventh ACM international conference on Embedded software
Memory-efficient distribution of regular expressions for fast deep packet inspection
CODES+ISSS '09 Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis
Partitioning graphs into connected parts
Theoretical Computer Science
Scheduling with families of jobs and delivery coordination under job availability
Theoretical Computer Science
Theoretical Computer Science
Hardness of edge-modification problems
Theoretical Computer Science
On NFAs where all states are final, initial, or both
Theoretical Computer Science
Hardness results and approximation algorithms for (weighted) paired-domination in graphs
Theoretical Computer Science
A randomized algorithm for determining dominating sets in graphs of maximum degree five
Theoretical Computer Science
(r,p)-centroid problems on paths and trees
Theoretical Computer Science
Finding Paths between graph colourings: PSPACE-completeness and superpolynomial distances
Theoretical Computer Science
Large independent sets in random regular graphs
Theoretical Computer Science
Characterising equilibrium logic and nested logic programs: Reductions and complexity1,2
Theory and Practice of Logic Programming
Outlier detection using default logic
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
Resource temporal networks: definition and complexity
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
An improved algorithm for optimal bin packing
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
Active probing strategies for problem diagnosis in distributed systems
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
Constraint satisfaction, databases, and logic
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
High-performance A* search using rapidly growing heuristics
IJCAI'91 Proceedings of the 12th international joint conference on Artificial intelligence - Volume 1
Where the really hard problems are
IJCAI'91 Proceedings of the 12th international joint conference on Artificial intelligence - Volume 1
Flexible matching for noisy structural descriptions
IJCAI'91 Proceedings of the 12th international joint conference on Artificial intelligence - Volume 2
Machine learning in disruption-tolerant MANETs
ACM Transactions on Autonomous and Adaptive Systems (TAAS)
WSEAS Transactions on Information Science and Applications
SpringSim '09 Proceedings of the 2009 Spring Simulation Multiconference
Efficient detection of compromised nodes in a wireless sensor network
SpringSim '09 Proceedings of the 2009 Spring Simulation Multiconference
Empirical assessment of object-oriented implementations with multiple inheritance and static typing
Proceedings of the 24th ACM SIGPLAN conference on Object oriented programming systems languages and applications
Conflict Resolution in the Scheduling of Television Commercials
Operations Research
Foundations and Trends® in Theoretical Computer Science
Discrete Applied Mathematics
Discrete Applied Mathematics
On the approximability of the Simplified Partial Digest Problem
Discrete Applied Mathematics
About equivalent interval colorings of weighted graphs
Discrete Applied Mathematics
Stable sets in k-colorable P5-free graphs
Information Processing Letters
Isolation concepts for clique enumeration: Comparison and computational experiments
Theoretical Computer Science
Parameterized complexity of candidate control in elections and related digraph problems
Theoretical Computer Science
Theoretical Computer Science
Computational study on planar dominating set problem
Theoretical Computer Science
A combinatorial constraint satisfaction problem dichotomy classification conjecture
European Journal of Combinatorics
Discourse planning as an optimization process
INLG '94 Proceedings of the Seventh International Workshop on Natural Language Generation
Llull and Copeland voting computationally resist bribery and constructive control
Journal of Artificial Intelligence Research
How hard is bribery in elections?
Journal of Artificial Intelligence Research
Interference aware multipath selection for video streaming in wireless ad hoc networks
IEEE Transactions on Circuits and Systems for Video Technology
Proceedings of the 7th ACM international symposium on Mobility management and wireless access
IJCAI'01 Proceedings of the 17th international joint conference on Artificial intelligence - Volume 1
A backbone-search heuristic for efficient solving of hard 3-SAT formulae
IJCAI'01 Proceedings of the 17th international joint conference on Artificial intelligence - Volume 1
Bin-completion algorithms for multicontainer packing and covering problems
IJCAI'05 Proceedings of the 19th international joint conference on Artificial intelligence
The backbone of the travelling salesperson
IJCAI'05 Proceedings of the 19th international joint conference on Artificial intelligence
Three truth values for the SAT and Max-SAT problems
IJCAI'05 Proceedings of the 19th international joint conference on Artificial intelligence
Propositional abduction is almost always hard
IJCAI'05 Proceedings of the 19th international joint conference on Artificial intelligence
Proactive algorithms for scheduling with probabilistic durations
IJCAI'05 Proceedings of the 19th international joint conference on Artificial intelligence
The complexity of theory revision
IJCAI'95 Proceedings of the 14th international joint conference on Artificial intelligence - Volume 2
Semantic inference in natural language: validating a tractable approach
IJCAI'95 Proceedings of the 14th international joint conference on Artificial intelligence - Volume 2
Constrained and rational default logics
IJCAI'95 Proceedings of the 14th international joint conference on Artificial intelligence - Volume 2
Planning with abstraction hierarchies can be exponentially less efficient
IJCAI'95 Proceedings of the 14th international joint conference on Artificial intelligence - Volume 2
New analysis on mobile agents based network routing
Applied Soft Computing
Complexity measures for binding-blocking automata
Journal of Automata, Languages and Combinatorics
Formal language characterizations of P, NP, and Pspace
Journal of Automata, Languages and Combinatorics
Approximating the minimum quadratic assignment problems
ACM Transactions on Algorithms (TALG)
Power-aware scheduling for makespan and flow
Journal of Scheduling
The resource-constrained activity insertion problem with minimum and maximum time lags
Journal of Scheduling
Non-approximability of just-in-time scheduling
Journal of Scheduling
Cross-Layer Design for QoS in Wireless Mesh Networks
Wireless Personal Communications: An International Journal
Compiling problem specifications into SAT
Artificial Intelligence - Special volume on reformulation
The complexity of soft constraint satisfaction
Artificial Intelligence
A formal analysis of why heuristic functions work
Artificial Intelligence
MaxSolver: An efficient exact algorithm for (weighted) maximum satisfiability
Artificial Intelligence
Finding optimal satisficing strategies for and-or trees
Artificial Intelligence
On the computational complexity of coalitional resource games
Artificial Intelligence
Automated reformulation of specifications by safe delay of constraints
Artificial Intelligence
Weak nonmonotonic probabilistic logics
Artificial Intelligence
Efficient RNAi-based gene family knockdown via set cover optimization
Artificial Intelligence in Medicine
Maximizing the minimum load for selfish agents
Theoretical Computer Science
Frequent subgraph pattern mining on uncertain graph data
Proceedings of the 18th ACM conference on Information and knowledge management
Detection of orthogonal concepts in subspaces of high dimensional data
Proceedings of the 18th ACM conference on Information and knowledge management
A linear time algorithm for approximate 2-means clustering
Computational Geometry: Theory and Applications
Computational Geometry: Theory and Applications - Special issue on the Japan conference on discrete and computational geometry 2004
Chips on wafers, or packing rectangles into grids
Computational Geometry: Theory and Applications - Special issue on the 19th European workshop on computational geometry - EuroCG 03
When can a net fold to a polyhedron?
Computational Geometry: Theory and Applications - Special issue: The 11th Candian conference on computational geometry - CCCG 99
Independent set of intersection graphs of convex objects in 2D
Computational Geometry: Theory and Applications
Short communication: Routing and wavelength assignment for core-based tree in WDM networks
Computer Communications
A multi-constrained multicast QoS routing algorithm
Computer Communications
Energy efficient routing and scheduling for real-time data aggregation in WSNs
Computer Communications
Process scheduling in heterogeneous multiprocessor systems
PDCS '07 Proceedings of the 19th IASTED International Conference on Parallel and Distributed Computing and Systems
Cost-optimal design of VoIP networks using the VPN concept
Computer Networks: The International Journal of Computer and Telecommunications Networking
An efficient quality of service routing algorithm for delay-sensitive applications
Computer Networks: The International Journal of Computer and Telecommunications Networking
Placement of proxy-based multicast overlays
Computer Networks: The International Journal of Computer and Telecommunications Networking
On the wireless local area network design problem with performance guarantees
Computer Networks: The International Journal of Computer and Telecommunications Networking
Algorithms for IP network design with end-to-end QoS constraints
Computer Networks: The International Journal of Computer and Telecommunications Networking - Selected papers from the 3rd international workshop on QoS in multiservice IP networks (QoS-IP 2005)
Computer Networks: The International Journal of Computer and Telecommunications Networking
Improving the accuracy of measurement-based geographic location of Internet hosts
Computer Networks: The International Journal of Computer and Telecommunications Networking
Precomputation for intra-domain QoS routing
Computer Networks: The International Journal of Computer and Telecommunications Networking
Stereo and motion correspondences using nonlinear optimization method
Computer Vision and Image Understanding
Target tracking with distributed sensors: The focus of attention problem
Computer Vision and Image Understanding - Special issue: Attention and performance in computer vision
UTTSExam: a campus-wide university exam-timetabling system
IAAI'02 Proceedings of the 14th conference on Innovative applications of artificial intelligence - Volume 1
On the bias and performance of the edge-set encoding
IEEE Transactions on Evolutionary Computation
Using a local discovery ant algorithm for Bayesian network structure learning
IEEE Transactions on Evolutionary Computation
Toward optimizing Cauchy matrix for Cauchy Reed-Solomon code
IEEE Communications Letters
Fair resource allocation for the Gaussian broadcast channel with ISI
IEEE Transactions on Communications
Resource allocation in an OFDM-based cognitive radio system
IEEE Transactions on Communications
Integrating simulation and optimization to schedule a hybrid flow shop with maintenance constraints
Computers and Industrial Engineering
Hardware-software co-synthesis of hard real-time systems with reconfigurable FPGAs
Computers and Electrical Engineering
Fixed channel assignment using new dynamic programming approach in cellular radio networks
Computers and Electrical Engineering
On the joint link dimensioning and routing metric assignment problem for reliable WDM networks
Computers and Electrical Engineering
An iterative approach to robust and integrated aircraft routing and crew scheduling
Computers and Operations Research
Flexible solutions in disjunctive scheduling: General formulation and study of the flow-shop case
Computers and Operations Research
Computers and Operations Research
Fixed-parameter tractability results for feedback set problems in tournaments
Journal of Discrete Algorithms
Journal of Discrete Algorithms
A fully polynomial-time approximation scheme for timing-constrained minimum cost layer assignment
IEEE Transactions on Circuits and Systems II: Express Briefs
Energy-efficient routing for signal detection in wireless sensor networks
IEEE Transactions on Signal Processing
False data injection attacks against state estimation in electric power grids
Proceedings of the 16th ACM conference on Computer and communications security
Large-scale malware indexing using function-call graphs
Proceedings of the 16th ACM conference on Computer and communications security
Vehicle localization by matching triangulated point patterns
Proceedings of the 17th ACM SIGSPATIAL International Conference on Advances in Geographic Information Systems
A note on graph balancing problems with restrictions
Information Processing Letters
Variable neighbourhood decomposition search for 0-1 mixed integer programs
Computers and Operations Research
Machine scheduling with job class setup and delivery considerations
Computers and Operations Research
Approximation for minimum total dominating set
Proceedings of the 2nd International Conference on Interaction Sciences: Information Technology, Culture and Human
Undecidability and intractability results concerning datalog programs and their persistency numbers
ACM Transactions on Computational Logic (TOCL)
Constraint-based winner determination for auction-based scheduling
IEEE Transactions on Systems, Man, and Cybernetics, Part A: Systems and Humans
IEEE Transactions on Systems, Man, and Cybernetics, Part A: Systems and Humans - Special section: Best papers from the 2007 biometrics: Theory, applications, and systems (BTAS 07) conference
Multiagent optimization system for solving the traveling salesman problem (TSP)
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics
Heuristic Kalman algorithm for solving optimization problems
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics
IEEE Transactions on Systems, Man, and Cybernetics, Part C: Applications and Reviews
An integer-linear-programming-based routing algorithm for flip-chip designs
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
An outlook on design technologies for future integrated systems
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Nonlinear dimensionality reduction by locally linear inlaying
IEEE Transactions on Neural Networks
Cluster-based distributed consensus
IEEE Transactions on Wireless Communications
Computational visual attention systems and their cognitive foundations: A survey
ACM Transactions on Applied Perception (TAP)
Computation in multicriteria matroid optimization
Journal of Experimental Algorithmics (JEA)
Proceedings of the 2009 conference on Artificial Intelligence in Education: Building Learning Systems that Care: From Knowledge Representation to Affective Modelling
The ant colony in par-synthesis of computer system
ASC '07 Proceedings of The Eleventh IASTED International Conference on Artificial Intelligence and Soft Computing
A parallel partitioning algorithm for parallel reconfigurable computing
CSS '07 Proceedings of the Fifth IASTED International Conference on Circuits, Signals and Systems
European Journal of Combinatorics
A multivariate complexity analysis of determining possible winners given incomplete votes
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Algorithms and complexity results for pursuit-evasion problems
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Multimode control attacks on elections
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Circuit complexity and decompositions of global constraints
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Canadian traveler problem with remote sensing
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
A soft global precedence constraint
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Non-conservative extension of a peer in a P2P inference system
AI Communications
Computer Networks: The International Journal of Computer and Telecommunications Networking
Modern development methods and tools for embedded reconfigurable systems: A survey
Integration, the VLSI Journal
The price of stability in selfish scheduling games
Web Intelligence and Agent Systems
Positive first-order logic is NP-complete
IBM Journal of Research and Development
Complexity and algorithms for two-stage flexible flowshop scheduling with availability constraints
Computers & Mathematics with Applications
Genetic algorithm for finding minimal cost light-forest of multicast routing on WDM networks
Artificial Intelligence Review
Schema mapping discovery from data instances
Journal of the ACM (JACM)
Detecting patterns in finite regular and context-free languages
Information Processing Letters
Computers and Operations Research
Multiple crossdocks with inventory and time windows
Computers and Operations Research
Complexity and stochastic evolution of dyadic networks
Computers and Operations Research
Scheduling two-stage hybrid flow shop with availability constraints
Computers and Operations Research
MA|PM: memetic algorithms with population management
Computers and Operations Research
Permutation flowshop scheduling problems with maximal and minimal time lags
Computers and Operations Research
The capacitated centred clustering problem
Computers and Operations Research
Ant colony optimization for the cell assignment problem in PCS networks
Computers and Operations Research
Savings based ant colony optimization for the capacitated minimum spanning tree problem
Computers and Operations Research
Autonomous reconfiguration and control in directional mobile ad hoc networks
IEEE Circuits and Systems Magazine
On equivalent reformulations for absolute value equations
Computational Optimization and Applications
Scheduling for atomic broadcast operation in heterogeneous networks with one port model
The Journal of Supercomputing
Uniform solutions to SAT and Subset Sum by spiking neural P systems
Natural Computing: an international journal
Complexity aspects of polarizationless membrane systems
Natural Computing: an international journal
Complexity of evolution in maximum cooperative P systems
Natural Computing: an international journal
A Multi-swarm Approach to Multi-objective Flexible Job-shop Scheduling Problems
Fundamenta Informaticae - Swarm Intelligence
Mining the Largest Dense Vertexlet in a Weighted Scale-free Graph
Fundamenta Informaticae
Information Sciences: an International Journal
Beam search algorithms for minimizing tool switches on a flexible manufacturing system
MACMESE'09 Proceedings of the 11th WSEAS international conference on Mathematical and computational methods in science and engineering
Waste collection vehicle routing problem with time windows using multi-objective genetic algorithms
CI '07 Proceedings of the Third IASTED International Conference on Computational Intelligence
Pre-processing methodology for optimizing stereolithography apparatus build performance
Computers in Industry
A solution to the unequal area facilities layout problem by genetic algorithm
Computers in Industry - Special issue: Application of genetics algorithms in industry
Maximize the lifetime of a data-gathering wireless sensor network
SECON'09 Proceedings of the 6th Annual IEEE communications society conference on Sensor, Mesh and Ad Hoc Communications and Networks
CROP: fast and effective congestion refinement of placement
Proceedings of the 2009 International Conference on Computer-Aided Design
Simultaneous layout migration and decomposition for double patterning technology
Proceedings of the 2009 International Conference on Computer-Aided Design
Iterative layering: optimizing arithmetic circuits by structuring the information flow
Proceedings of the 2009 International Conference on Computer-Aided Design
Using trees to depict a forest
Proceedings of the VLDB Endowment
Efficient retrieval of the top-k most relevant spatial web objects
Proceedings of the VLDB Endowment
Exact cardinality query optimization for optimizer testing
Proceedings of the VLDB Endowment
Stable energy-aware topology management in ad hoc networks
Ad Hoc Networks
Theoretical Computer Science
An optimal caching technique for wireless ad hoc network using connected dominating set
SPECTS'09 Proceedings of the 12th international conference on Symposium on Performance Evaluation of Computer & Telecommunication Systems
Resource allocation for guaranteed service in OFDMA based systems
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
QoS-aware on-demand channel width adaptation protocols for multi-radio ad-hoc networks
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Capacity enhancement with relay station placement in wireless cooperative networks
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
How to find Nash equilibria with extreme total latency in network congestion games?
GameNets'09 Proceedings of the First ICST international conference on Game Theory for Networks
Genetic algorithm and local search for just-in-time job-shop scheduling
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
General hybrid column generation algorithm for crew scheduling problems using genetic algorithm
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Evolutionary IP assignment for efficient NoC-based system design using multi-objective optimization
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Solving Structured Polynomial Systems and Applications to Cryptology
CASC '09 Proceedings of the 11th International Workshop on Computer Algebra in Scientific Computing
Construction of Hoare Triples under Generalized Model with Semantically Valid Genetic Operations
ISICA '09 Proceedings of the 4th International Symposium on Advances in Computation and Intelligence
Determining a Minimum Spanning Tree with Disjunctive Constraints
ADT '09 Proceedings of the 1st International Conference on Algorithmic Decision Theory
Entry Pairing in Inverted File
WISE '09 Proceedings of the 10th International Conference on Web Information Systems Engineering
The Cost of Stability in Coalitional Games
SAGT '09 Proceedings of the 2nd International Symposium on Algorithmic Game Theory
SAGT '09 Proceedings of the 2nd International Symposium on Algorithmic Game Theory
An Efficient Method for Computing Alignment Diagnoses
RR '09 Proceedings of the 3rd International Conference on Web Reasoning and Rule Systems
TABLEAUX '09 Proceedings of the 18th International Conference on Automated Reasoning with Analytic Tableaux and Related Methods
Phase Transition in the Bandwidth Minimization Problem
MICAI '09 Proceedings of the 8th Mexican International Conference on Artificial Intelligence
Pipelining Memetic Algorithms, Constraint Satisfaction, and Local Search for Course Timetabling
MICAI '09 Proceedings of the 8th Mexican International Conference on Artificial Intelligence
Optical Designs for Non-deterministic Turing Machines
OSC '09 Proceedings of the 2nd International Workshop on Optical SuperComputing
Evolutionary Design of Graph-Based Structures for Optical Computing
OSC '09 Proceedings of the 2nd International Workshop on Optical SuperComputing
Computing a Solution for the Subset Sum Problem with a Light Based Device
OSC '09 Proceedings of the 2nd International Workshop on Optical SuperComputing
Combinatorial Optimization Using Electro-Optical Vector by Matrix Multiplication Architecture
OSC '09 Proceedings of the 2nd International Workshop on Optical SuperComputing
QoS Multicast Routing Algorithms Based on Tabu Search with Hybrid Candidate List
FGIT '09 Proceedings of the 1st International Conference on Future Generation Information Technology
Multi-agent Optimization Design for Multi-resource Job Shop Scheduling Problems
ICIC '07 Proceedings of the 3rd International Conference on Intelligent Computing: Advanced Intelligent Computing Theories and Applications. With Aspects of Artificial Intelligence
On the Convex Hull of the Integer Points in a Bi-circular Region
IWCIA '09 Proceedings of the 13th International Workshop on Combinatorial Image Analysis
IWCIA '09 Proceedings of the 13th International Workshop on Combinatorial Image Analysis
Stochastic Facility Construction Problem with Preference of Candidate Sites
MDAI '09 Proceedings of the 6th International Conference on Modeling Decisions for Artificial Intelligence
A Hybrid Extremal Optimisation Approach for the Bin Packing Problem
ACAL '09 Proceedings of the 4th Australian Conference on Artificial Life: Borrowing from Biology
Efficient SAT Techniques for Relative Encoding of Permutations with Constraints
AI '09 Proceedings of the 22nd Australasian Joint Conference on Advances in Artificial Intelligence
Extensions of the Cube Attack Based on Low Degree Annihilators
CANS '09 Proceedings of the 8th International Conference on Cryptology and Network Security
Exact Algorithms for Dominating Clique Problems
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
Geometric Minimum Diameter Minimum Cost Spanning Tree Problem
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
Approximation Algorithms for Min-Max Path Cover Problems with Service Handling Time
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
Route-Enabling Graph Orientation Problems
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
Induced Packing of Odd Cycles in a Planar Graph
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
Editing Graphs into Disjoint Unions of Dense Clusters
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
Parameterizing Cut Sets in a Graph by the Number of Their Components
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
The Complexity of Perfect Matching Problems on Dense Hypergraphs
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
Reconstructing Polygons from Scanner Data
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
PTAS for k-Tour Cover Problem on the Plane for Moderately Large Values of k
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
Improved Algorithms for Finding Consistent Superstrings Based on a New Graph Model
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
Computing a Smallest Multi-labeled Phylogenetic Tree from Rooted Triplets
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
On Partitioning a Graph into Two Connected Subgraphs
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
Approximability of Edge Matching Puzzles
SOFSEM '10 Proceedings of the 36th Conference on Current Trends in Theory and Practice of Computer Science
On a Labeled Vehicle Routing Problem
SOFSEM '10 Proceedings of the 36th Conference on Current Trends in Theory and Practice of Computer Science
Destructive Rule-Based Properties and First-Order Logic
SOFSEM '10 Proceedings of the 36th Conference on Current Trends in Theory and Practice of Computer Science
On the Complexity of the Highway Pricing Problem
SOFSEM '10 Proceedings of the 36th Conference on Current Trends in Theory and Practice of Computer Science
On Contracting Graphs to Fixed Pattern Graphs
SOFSEM '10 Proceedings of the 36th Conference on Current Trends in Theory and Practice of Computer Science
On Stackelberg Pricing with Computationally Bounded Consumers
WINE '09 Proceedings of the 5th International Workshop on Internet and Network Economics
Pricing Strategies for Viral Marketing on Social Networks
WINE '09 Proceedings of the 5th International Workshop on Internet and Network Economics
The Stackelberg Minimum Spanning Tree Game on Planar and Bounded-Treewidth Graphs
WINE '09 Proceedings of the 5th International Workshop on Internet and Network Economics
Computing Optimal Contracts in Series-Parallel Heterogeneous Combinatorial Agencies
WINE '09 Proceedings of the 5th International Workshop on Internet and Network Economics
Selfish Scheduling with Setup Times
WINE '09 Proceedings of the 5th International Workshop on Internet and Network Economics
Novel Deterministic Heuristics for Building Minimum Spanning Trees with Constrained Diameter
PReMI '09 Proceedings of the 3rd International Conference on Pattern Recognition and Machine Intelligence
Adjacency on the order polytope with applications to the theory of fuzzy measures
Fuzzy Sets and Systems
A molecular solution to the hitting-set problem in DNA-based supercomputing
Information Sciences: an International Journal
Sparse telephone gateway for internet telephony
Computer Networks: The International Journal of Computer and Telecommunications Networking
Performance analysis of cellular automata Monte Carlo Simulation for estimating network reliability
Expert Systems with Applications: An International Journal
Logic based methods for SNPs tagging and reconstruction
Computers and Operations Research
Computers and Operations Research
Hiding co-occurring frequent itemsets
Proceedings of the 2009 EDBT/ICDT Workshops
Optical switching in WDM networks: architectures and algorithms
ICOIN'09 Proceedings of the 23rd international conference on Information Networking
Approximately-strategyproof and tractable multiunit auctions
Decision Support Systems - Special issue: The fourth ACM conference on electronic commerce
A modified genetic algorithm for the optimization of aggregated multicast
ICACT'09 Proceedings of the 11th international conference on Advanced Communication Technology - Volume 1
ICACT'09 Proceedings of the 11th international conference on Advanced Communication Technology - Volume 1
An immune algorithm for the optimization of aggregated multicast
ICACT'09 Proceedings of the 11th international conference on Advanced Communication Technology - Volume 1
Channel assignment in multi-radio wireless mesh networks: a graph-theoretic approach
COMSNETS'09 Proceedings of the First international conference on COMmunication Systems And NETworks
On the mapping between logical and physical topologies
COMSNETS'09 Proceedings of the First international conference on COMmunication Systems And NETworks
Post-deployment tuning of UMTS cellular networks through dual-homing of RNCs
COMSNETS'09 Proceedings of the First international conference on COMmunication Systems And NETworks
Discrete Applied Mathematics
Dynamic storage allocation with limited compaction - complexity and some practical implications
Discrete Applied Mathematics
Finding the two-core of a tree
Discrete Applied Mathematics
Backbone-based connectivity control for mobile networks
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
Multi-robot routing with linear decreasing rewards over time
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
Communications: The maximum traveling salesman problem on van der Veen matrices
Discrete Applied Mathematics
Complexity of the directed spanning cactus problem
Discrete Applied Mathematics
Approximation algorithms for some vehicle routing problems
Discrete Applied Mathematics
A hypocoloring model for batch scheduling
Discrete Applied Mathematics
Computing the minimum DNF representation of Boolean functions defined by intervals
Discrete Applied Mathematics - Special issue: Boolean and pseudo-boolean funtions
Note: A solvable case of image reconstruction in discrete tomography
Discrete Applied Mathematics
The complexity of base station positioning in cellular networks
Discrete Applied Mathematics
Balanced vertex-orderings of graphs
Discrete Applied Mathematics
The effect of machine availability on the worst-case performance of LPT
Discrete Applied Mathematics
Conversion of coloring algorithms into maximum weight independent set algorithms
Discrete Applied Mathematics
The restrictive H-coloring problem
Discrete Applied Mathematics - Structural decompositions, width parameters, and graph labelings (DAS 5)
Computing the branchwidth of interval graphs
Discrete Applied Mathematics - Structural decompositions, width parameters, and graph labelings (DAS 5)
Coloured Tutte polynomials and Kauffman brackets for graphs of bounded tree width
Discrete Applied Mathematics - Structural decompositions, width parameters, and graph labelings (DAS 5)
Decomposition of integer matrices and multileaf collimator sequencing
Discrete Applied Mathematics
A class of web-based facets for the generalized vertex packing problem
Discrete Applied Mathematics
Data-independent neighborhood functions and strict local optima
Discrete Applied Mathematics
Some connections between learning and optimization
Discrete Applied Mathematics
Cluster graph modification problems
Discrete Applied Mathematics
On the complexity of unfrozen problems
Discrete Applied Mathematics
Discrete Applied Mathematics
On uniform k-partition problems
Discrete Applied Mathematics
On the probabilistic minimum coloring and minimum k-coloring
Discrete Applied Mathematics
An approximation algorithm for maximum triangle packing
Discrete Applied Mathematics
On the interval completion of chordal graphs
Discrete Applied Mathematics
Unconditionally secure key assignment schemes
Discrete Applied Mathematics - Special issue: Coding and cryptography
A greedy approximation algorithm for the group Steiner problem
Discrete Applied Mathematics
Using graphs for some discrete tomography problems
Discrete Applied Mathematics
Solving the path cover problem on circular-arc graphs by using an approximation algorithm
Discrete Applied Mathematics
Using Lagrangian dual information to generate degree constrained spanning trees
Discrete Applied Mathematics - Special issue: IV ALIO/EURO workshop on applied combinatorial optimization
Computational complexity of isothermic DNA sequencing by hybridization
Discrete Applied Mathematics - Special issue: IV ALIO/EURO workshop on applied combinatorial optimization
Multiprocessor scheduling under precedence constraints: Polyhedral results
Discrete Applied Mathematics - Special issue: IV ALIO/EURO workshop on applied combinatorial optimization
The satisfactory partition problem
Discrete Applied Mathematics
Discrete Applied Mathematics - Special issue: Efficient algorithms
Path problems in generalized stars, complete graphs, and brick wall graphs
Discrete Applied Mathematics - Special issue: Efficient algorithms
The complexity of maximum matroid-greedoid intersection and weighted greedoid maximization
Discrete Applied Mathematics - Special issue: Efficient algorithms
An annotated bibliography of combinatorial optimization problems with fixed cardinality constraints
Discrete Applied Mathematics - Special issue: 2nd cologne/twente workshop on graphs and combinatorial optimization (CTW 2003)
The Gaston Tool for Frequent Subgraph Mining
Electronic Notes in Theoretical Computer Science (ENTCS)
Kleene Algebra and Bytecode Verification
Electronic Notes in Theoretical Computer Science (ENTCS)
Electronic Notes in Theoretical Computer Science (ENTCS)
Scheduling workflow applications on processors with different capabilities
Future Generation Computer Systems - Collaborative and learning applications of grid technology
Dynamic mapping of cooperating tasks to nodes in a distributed system
Future Generation Computer Systems
On the relation between equations with max-product composition and the covering problem
Fuzzy Sets and Systems
The parallel complexity of finite-state automata problems
Information and Computation
The existential theory of equations with rational constraints in free groups is PSPACE-complete
Information and Computation
Bin packing problems with rejection penalties and their dual problems
Information and Computation
QoS-based design process for pervasive computing applications
Mobility '09 Proceedings of the 6th International Conference on Mobile Technology, Application & Systems
Complexity results on labeled shortest path problems from wireless routing metrics
Computer Networks: The International Journal of Computer and Telecommunications Networking
A topology control approach for utilizing multiple channels in multi-radio wireless mesh networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Robust estimation of camera parameters using combinatorial optimization
CGIM '07 Proceedings of the Ninth IASTED International Conference on Computer Graphics and Imaging
Complexity of the repeaters allocating problem
Information Processing Letters
A note on the space complexity of some decision problems for finite automata
Information Processing Letters
The benefits of external wires in single row routing
Information Processing Letters
A note on the complexity of local search problems
Information Processing Letters
Approximation algorithms for optimization problems in graphs with superlogarithmic treewidth
Information Processing Letters
Complexities of some interesting problems on spanning trees
Information Processing Letters
An effective local search for the maximum clique problem
Information Processing Letters
The Helly property on subfamilies of limited size
Information Processing Letters
The labeled perfect matching in bipartite graphs
Information Processing Letters
UPS-k: a set partitioning problem with applications in UPS pickup-delivery system
Information Processing Letters
A faster parameterized algorithm for set packing
Information Processing Letters
A linear time algorithm for the minimum Weighted Feedback Vertex Set on diamonds
Information Processing Letters
On Hamiltonian cycles and Hamiltonian paths
Information Processing Letters
Dynamic programming solution for multiple query optimization problem
Information Processing Letters
Variations of the maximum leaf spanning tree problem for bipartite graphs
Information Processing Letters
Parameterized power domination complexity
Information Processing Letters
Vertex covering by paths on trees with its applications in machine translation
Information Processing Letters
Jump number maximization for proper interval graphs and series-parallel graphs
Information Sciences: an International Journal
Generational scheduling for dynamic task management in heterogeneous computing systems
Information Sciences: an International Journal
Three-quarter approximation for the number of unused colors in graph coloring
Information Sciences: an International Journal
A probabilistic approach to navigation in Hypertext
Information Sciences: an International Journal
Controlling the losing probability in a monotone game
Information Sciences: an International Journal
On detecting nonlinear patterns in discriminant problems
Information Sciences: an International Journal
Adherence clustering: an efficient method for mining market-basket clusters
Information Systems
A global router based on a multicommodity flow model
Integration, the VLSI Journal
Algorithms for permutation channel routing
Integration, the VLSI Journal
Planar subset of multi-terminal nets
Integration, the VLSI Journal
An efficient heuristic for standard-cell placement
Integration, the VLSI Journal
An efficient macro-cell placement algorithm
Integration, the VLSI Journal
A BDD-based verification method for large synthesized circuits
Integration, the VLSI Journal
A modified noising algorithm for the graph partitioning problem
Integration, the VLSI Journal
Refinement of uncertain rule bases via reduction
International Journal of Approximate Reasoning
Cutwidth I: A linear time fixed parameter algorithm
Journal of Algorithms
Cutwidth II: Algorithms for partial w-trees of bounded degree
Journal of Algorithms
Approximations for minimum and min-max vehicle routing problems
Journal of Algorithms
Journal of Algorithms
Real-time systems: incomplete solution approach for the maximum-weighted clique problem
AIA '08 Proceedings of the 26th IASTED International Conference on Artificial Intelligence and Applications
A novel memory management scheme for residential gateways
Information Systems Frontiers
Bioinspired Parallel Algorithms for Maximum Clique Problem on FPGA Architectures
Journal of Signal Processing Systems
A multiobjective metaheuristic for a mean-risk multistage capacity investment problem
Journal of Heuristics
Logic programming for combinatorial problems
Artificial Intelligence Review
Simple negotiation schemes for agents with simple preferences: sufficiency, necessity and maximality
Autonomous Agents and Multi-Agent Systems
Pinning control on complex networks via identifying communities
CCDC'09 Proceedings of the 21st annual international conference on Chinese Control and Decision Conference
A distributed parallel QoS routing algorithm with multi-path probing
CCDC'09 Proceedings of the 21st annual international conference on Chinese Control and Decision Conference
Robust model for job shop scheduling with uncertain processing times
CCDC'09 Proceedings of the 21st annual international conference on Chinese control and decision conference
Batch delivery scheduling with limited waiting time constraint on a single machine
CCDC'09 Proceedings of the 21st annual international conference on Chinese control and decision conference
Production-transportation scheduling model on a single batching machine
CCDC'09 Proceedings of the 21st annual international conference on Chinese control and decision conference
Region-based connectivity: a new paradigm for design of fault-tolerant networks
HPSR'09 Proceedings of the 15th international conference on High Performance Switching and Routing
A polynomial solution to the k-fixed-endpoint path cover problem on proper interval graphs
Theoretical Computer Science
Computational models and heuristic methods for Grid scheduling problems
Future Generation Computer Systems
Complexity of integer quasiconvex polynomial optimization
Journal of Complexity - Festschrift for the 70th birthday of Arnold Schönhage
Two situations with unit-cost: ordered abelian semi-groups and some commutative rings
Journal of Complexity - Festschrift for the 70th birthday of Arnold Schönhage
Applications of genetic algorithms
WSEAS Transactions on Information Science and Applications
An effective candidate list strategy for tabu search based QoS multicast routing
SoftCOM'09 Proceedings of the 17th international conference on Software, Telecommunications and Computer Networks
Path computation with variable bandwidth for bulk data transfer in high-performance networks
INFOCOM'09 Proceedings of the 28th IEEE international conference on Computer Communications Workshops
Analysis of the (1 + 1)-EA for finding approximate solutions to vertex cover problems
IEEE Transactions on Evolutionary Computation
Benchmarking a wide spectrum of metaheuristic techniques for the radio network design problem
IEEE Transactions on Evolutionary Computation
Using differential evolution for a subclass of graph theory problems
IEEE Transactions on Evolutionary Computation
Per-node based optimal power control for multi-hop cognitive radio networks
IEEE Transactions on Wireless Communications
Minimum-energy all-to-all multicasting in wireless ad hoc networks
IEEE Transactions on Wireless Communications
IEEE Transactions on Wireless Communications
On topology reconfiguration for defect-tolerant NoC-based homogeneous manycore systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Maximizing the functional yield of wafer-to-wafer 3-D integration
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Power management using test-pattern ordering for wafer-level test during burn-in
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Finding shortest contractible and shortest separating cycles in embedded graphs
ACM Transactions on Algorithms (TALG)
Optimization problems in multiple-interval graphs
ACM Transactions on Algorithms (TALG)
International Journal of Applied Mathematics and Computer Science
Complete enumeration of compact structural motifs in proteins
ISB '10 Proceedings of the International Symposium on Biocomputing
An incremental algorithm for distributed minimum weight triangulation
PDCN '08 Proceedings of the IASTED International Conference on Parallel and Distributed Computing and Networks
Optimal linear-time uplink scheduling algorithms for WiMAX
WOC '08 Proceedings of the Eighth IASTED International Conference on Wireless and Optical Communications
A graph-theoretic framework for semantic distance
Computational Linguistics
Motorcycle graphs: canonical quad mesh partitioning
SGP '08 Proceedings of the Symposium on Geometry Processing
Anonymizing bipartite graph data using safe groupings
The VLDB Journal — The International Journal on Very Large Data Bases
Management System for Terminals in the Wireless B3G World
Wireless Personal Communications: An International Journal
On the Computation of Natural Observers in Discrete-Event Systems
Discrete Event Dynamic Systems
A hybrid heuristic for the diameter constrained minimum spanning tree problem
Journal of Global Optimization
Dynamic heuristics for the generalized job-shop scheduling problem
SMC'09 Proceedings of the 2009 IEEE international conference on Systems, Man and Cybernetics
Graph signature for self-reconfiguration planning of modules with symmetry
IROS'09 Proceedings of the 2009 IEEE/RSJ international conference on Intelligent robots and systems
Concurrent tree traversals for improved mission performance under limited communication range
IROS'09 Proceedings of the 2009 IEEE/RSJ international conference on Intelligent robots and systems
A thin-layer protocol for utilizing multiple paths
IROS'09 Proceedings of the 2009 IEEE/RSJ international conference on Intelligent robots and systems
Coverage and connected coverage problems for sensors embedded in a temperature-sensitive environment
International Journal of Sensor Networks
Solving multiconstraint assignment problems using learning automata
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics
The DL-lite family and relations
Journal of Artificial Intelligence Research
Prime implicates and prime implicants: from propositional to modal logic
Journal of Artificial Intelligence Research
Droplet-routing-aware module placement for cross-referencing biochips
Proceedings of the 19th international symposium on Physical design
Exact Algorithms for the Quadratic Linear Ordering Problem
INFORMS Journal on Computing
International Journal of Knowledge-based and Intelligent Engineering Systems
Efficient and distributable methods for solving the multiagent plan coordination problem
Multiagent and Grid Systems - Planning in multiagent systems
Plan decoupling of agents with qualitatively constrained tasks
Multiagent and Grid Systems - Planning in multiagent systems
Sharing the Cost of a Capacity Network
Mathematics of Operations Research
A power-aware mapping approach to map IP cores onto NoCs under bandwidth and latency constraints
ACM Transactions on Architecture and Code Optimization (TACO)
A strengthened mixed-integer linear formulation for the K clusters problem with fixed cardinality
MATH'09 Proceedings of the 14th WSEAS International Conference on Applied mathematics
Routing with constraints for post-grid clock distribution in microprocessors
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
On the complexity of variations of equal sum subsets
Nordic Journal of Computing
A strengthened formulation and cutting planes for the open pit mine production scheduling problem
Computers and Operations Research
Bi-Objective Ant Colony Optimization approach to optimize production and maintenance scheduling
Computers and Operations Research
A family of inequalities valid for the robust single machine scheduling polyhedron
Computers and Operations Research
Bounds for short covering codes and reactive tabu search
Discrete Applied Mathematics
Real-time data gathering in sensor networks
Discrete Applied Mathematics
Treewidth computations I. Upper bounds
Information and Computation
Web Semantics: Science, Services and Agents on the World Wide Web
Robust Detection of Unauthorized Wireless Access Points
Mobile Networks and Applications
Designing multihop wireless backhaul networks with delay guarantees
Wireless Networks
Concentrated multicast zone configuration in CDMA network systems
Wireless Networks
On Sensor Network Reconfiguration for Downtime-Free System Migration
Mobile Networks and Applications
Optimal 3-Coverage with Minimum Separation Requirements for Ubiquitous Computing Environments
Mobile Networks and Applications
On-Demanded Multicast Zone Configuration in CDMA Network Systems
Wireless Personal Communications: An International Journal
Journal of Signal Processing Systems
Mathematics and Computers in Simulation
The traveling salesman: computational solutions for TSP applications
The traveling salesman: computational solutions for TSP applications
Molecular motors-based micro- and nano-biocomputation devices
Microelectronic Engineering
Coordination by design and the price of autonomy
Autonomous Agents and Multi-Agent Systems
Multimedia presentation organization and playout management using intelligent agents
Multimedia Tools and Applications
The Cilk++ concurrency platform
The Journal of Supercomputing
A parallel algorithm for the enumeration of the spanning trees of a graph
Parallel Computing
Performance evaluation of vector implementations of combinatorial algorithms
Parallel Computing
Paper: Assigning dependency graphs onto processor networks
Parallel Computing
Paper: An application of neural networks on channel routing problem
Parallel Computing
Optimization on Lie manifolds and pattern recognition
Pattern Recognition
Note: Approximation algorithms for art gallery problems in polygons
Discrete Applied Mathematics
List scheduling with duplication for heterogeneous computing systems
Journal of Parallel and Distributed Computing
A mixed integer linear program and tabu search approach for the complementary edge covering problem
Advances in Engineering Software
Application mapping of mesh based-NoC using multi-objective genetic algorithm
International Journal of Computers and Applications
Multi-level reconfigurable architectures in the switch model
Journal of Systems Architecture: the EUROMICRO Journal
Journal of Discrete Algorithms
Computers and Operations Research
A new heuristic approach for non-convex optimization problems
Information Sciences: an International Journal
A new characterization of P6-free graphs
Discrete Applied Mathematics
Finding a maximum-weight induced k-partite subgraph of an i-triangulated graph
Discrete Applied Mathematics
Complexity of the packing coloring problem for trees
Discrete Applied Mathematics
Single-machine scheduling under the job rejection constraint
Theoretical Computer Science
Note: On exponential time lower bound of Knapsack under backtracking
Theoretical Computer Science
Rotations in the stable b-matching problem
Theoretical Computer Science
Non-cooperative facility location and covering games
Theoretical Computer Science
Discrete Applied Mathematics
Note: A note on the hardness of Skolem-type sequences
Discrete Applied Mathematics
Energy-driven distribution of signal processing applications across wireless sensor networks
ACM Transactions on Sensor Networks (TOSN)
An efficient pseudo-random generator provably as secure as syndrome decoding
EUROCRYPT'96 Proceedings of the 15th annual international conference on Theory and application of cryptographic techniques
Implications for QoS provisioning based on traceroute measurements
QofIS'02/ICQT'02 Proceedings of the 3rd international conference on quality of future internet services and internet charging and QoS technologies 2nd international conference on From QoS provisioning to QoS charging
An improved low-density subset sum algorithm
EUROCRYPT'91 Proceedings of the 10th annual international conference on Theory and application of cryptographic techniques
Graph decompositions and secret sharing schemes
EUROCRYPT'92 Proceedings of the 11th annual international conference on Theory and application of cryptographic techniques
Tools for proving zero knowledge
EUROCRYPT'92 Proceedings of the 11th annual international conference on Theory and application of cryptographic techniques
Interacting code motion transformations: their impact and their complexity
Interacting code motion transformations: their impact and their complexity
Multiple instance learning via margin maximization
Applied Numerical Mathematics
Sparse approximate solution of partial differential equations
Applied Numerical Mathematics
Identity-based encryption based on DHIES
ASIACCS '10 Proceedings of the 5th ACM Symposium on Information, Computer and Communications Security
Learning Linear Ranking Functions for Beam Search with Application to Planning
The Journal of Machine Learning Research
The Journal of Machine Learning Research
Proceedings of the ACM SIGPLAN/SIGBED 2010 conference on Languages, compilers, and tools for embedded systems
Unbalanced oil and vinegar signature schemes
EUROCRYPT'99 Proceedings of the 17th international conference on Theory and application of cryptographic techniques
An information hiding method based on computational intractable problems
PCI'01 Proceedings of the 8th Panhellenic conference on Informatics
ERA: an algorithm for reducing the epistasis of SAT problems
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
New entropy-based measures of gene significance and epistasis
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
Simultaneous assembly planning and assembly system design using multi-objective genetic algorithms
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
Multicriteria network design using evolutionary algorithm
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
Subexponential-time algorithms for maximum independent set and related problems on box graphs
COCOON'03 Proceedings of the 9th annual international conference on Computing and combinatorics
Tetris is hard, even to approximate
COCOON'03 Proceedings of the 9th annual international conference on Computing and combinatorics
Double digest revisited: complexity and approximability in the presence of noisy data
COCOON'03 Proceedings of the 9th annual international conference on Computing and combinatorics
LCPC'06 Proceedings of the 19th international conference on Languages and compilers for parallel computing
Randomised algorithms for finding small weakly-connected dominating sets of regular graphs
CIAC'03 Proceedings of the 5th Italian conference on Algorithms and complexity
Reconciling gene trees to a species tree
CIAC'03 Proceedings of the 5th Italian conference on Algorithms and complexity
On k-edge-connectivity problems with sharpened triangle inequality
CIAC'03 Proceedings of the 5th Italian conference on Algorithms and complexity
Differential approximation for some routing problems
CIAC'03 Proceedings of the 5th Italian conference on Algorithms and complexity
A competitive winner-takes-all architecture for classification and pattern recognition of structures
GbRPR'03 Proceedings of the 4th IAPR international conference on Graph based representations in pattern recognition
QNet: a tool for querying protein interaction networks
RECOMB'07 Proceedings of the 11th annual international conference on Research in computational molecular biology
Complexity of default logic on generalized conjunctive queries
LPNMR'07 Proceedings of the 9th international conference on Logic programming and nonmonotonic reasoning
Complexity of rule redundancy in non-ground answer-set programming over finite domains
LPNMR'07 Proceedings of the 9th international conference on Logic programming and nonmonotonic reasoning
Visualizing large and clustered networks
GD'06 Proceedings of the 14th international conference on Graph drawing
GD'06 Proceedings of the 14th international conference on Graph drawing
Partitions of graphs into trees
GD'06 Proceedings of the 14th international conference on Graph drawing
ICALP'03 Proceedings of the 30th international conference on Automata, languages and programming
The computational complexity of the role assignment problem
ICALP'03 Proceedings of the 30th international conference on Automata, languages and programming
Genus characterizes the complexity of graph problems: some tight results
ICALP'03 Proceedings of the 30th international conference on Automata, languages and programming
Information flow in concurrent games
ICALP'03 Proceedings of the 30th international conference on Automata, languages and programming
Real-time scheduling with a budget
ICALP'03 Proceedings of the 30th international conference on Automata, languages and programming
Towards minimizing memory requirement for implementation of hyperelliptic curve cryptosystems
ISPEC'07 Proceedings of the 3rd international conference on Information security practice and experience
Computing intensions of digital library collections
ICFCA'07 Proceedings of the 5th international conference on Formal concept analysis
Efficiently finding the most parsimonious phylogenetic tree via linear programming
ISBRA'07 Proceedings of the 3rd international conference on Bioinformatics research and applications
Server placement in the presence of competition
GPC'07 Proceedings of the 2nd international conference on Advances in grid and pervasive computing
A fast cutting-plane algorithm for optimal coalescing
CC'07 Proceedings of the 16th international conference on Compiler construction
CIAA'03 Proceedings of the 8th international conference on Implementation and application of automata
Weak minimization of DFA: an algorithm and applications
CIAA'03 Proceedings of the 8th international conference on Implementation and application of automata
Stochastic local search for multiprocessor scheduling for minimum total tardiness
AI'03 Proceedings of the 16th Canadian society for computational studies of intelligence conference on Advances in artificial intelligence
Iterated robust tabu search for MAX-SAT
AI'03 Proceedings of the 16th Canadian society for computational studies of intelligence conference on Advances in artificial intelligence
Evaluation of anonymity of practical anonymous communication networks
ACISP'03 Proceedings of the 8th Australasian conference on Information security and privacy
Cryptanalysis of HFE with internal perturbation
PKC'07 Proceedings of the 10th international conference on Practice and theory in public-key cryptography
Wooden geometric puzzles: design and hardness proofs
FUN'07 Proceedings of the 4th international conference on Fun with algorithms
FUN'07 Proceedings of the 4th international conference on Fun with algorithms
The traveling beams optical solutions for bounded NP-complete problems
FUN'07 Proceedings of the 4th international conference on Fun with algorithms
FUN'07 Proceedings of the 4th international conference on Fun with algorithms
FUN'07 Proceedings of the 4th international conference on Fun with algorithms
On the complexity of the traffic grooming problem in optical networks
FUN'07 Proceedings of the 4th international conference on Fun with algorithms
Mining frequent instances on workflows
PAKDD'03 Proceedings of the 7th Pacific-Asia conference on Advances in knowledge discovery and data mining
A hybrid immune algorithm with information gain for the graph coloring problem
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI
Hybrid networks of evolutionary processors
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI
Problem-independent schema synthesis for genetic algorithms
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI
Investigation of the fitness landscapes and multi-parent crossover for graph bipartitioning
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI
New usage of Sammon's mapping for genetic visualization
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI
IWLCS'03-05 Proceedings of the 2003-2005 international conference on Learning classifier systems
Anahy: a programming environment for cluster computing
VECPAR'06 Proceedings of the 7th international conference on High performance computing for computational science
ICCSA'03 Proceedings of the 2003 international conference on Computational science and its applications: PartIII
A GRASP and branch-and-bound metaheuristic for the job-shop scheduling
EvoCOP'07 Proceedings of the 7th European conference on Evolutionary computation in combinatorial optimization
Reducing the size of traveling salesman problem instances by fixing edges
EvoCOP'07 Proceedings of the 7th European conference on Evolutionary computation in combinatorial optimization
Iterated k-opt local search for the maximum clique problem
EvoCOP'07 Proceedings of the 7th European conference on Evolutionary computation in combinatorial optimization
A new grouping genetic algorithm for the quadratic multiple knapsack problem
EvoCOP'07 Proceedings of the 7th European conference on Evolutionary computation in combinatorial optimization
A throughput-driven task creation and mapping for network processors
HiPEAC'07 Proceedings of the 2nd international conference on High performance embedded architectures and compilers
Multiplex PCR assay design by hybrid multiobjective evolutionary algorithm
EMO'07 Proceedings of the 4th international conference on Evolutionary multi-criterion optimization
Cost minimisation in multi-interface networks
NET-COOP'07 Proceedings of the 1st EuroFGI international conference on Network control and optimization
Characterizing minimal interval completions towards better understanding of profile and pathwidth
STACS'07 Proceedings of the 24th annual conference on Theoretical aspects of computer science
Shape analysis by graph decomposition
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
Counterexamples in probabilistic model checking
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
Efficient indexing of versioned document sequences
ECIR'07 Proceedings of the 29th European conference on IR research
Rotational steiner ratio problem under uniform orientation metrics
CJCDGCGT'05 Proceedings of the 7th China-Japan conference on Discrete geometry, combinatorics and graph theory
Congestion and almost invariant sets in dynamical systems
SNSC'01 Proceedings of the 2nd international conference on Symbolic and numerical scientific computation
ICCS'03 Proceedings of the 1st international conference on Computational science: PartI
An effective multi-level algorithm based on ant colony optimization for bisecting graph
PAKDD'07 Proceedings of the 11th Pacific-Asia conference on Advances in knowledge discovery and data mining
STACS'99 Proceedings of the 16th annual conference on Theoretical aspects of computer science
Decidability and undecidability of marked PCP
STACS'99 Proceedings of the 16th annual conference on Theoretical aspects of computer science
Constructing light spanning trees with small routing cost
STACS'99 Proceedings of the 16th annual conference on Theoretical aspects of computer science
Finding paths with the right cost
STACS'99 Proceedings of the 16th annual conference on Theoretical aspects of computer science
Upper bounds for vertex cover further improved
STACS'99 Proceedings of the 16th annual conference on Theoretical aspects of computer science
Speeding up secure sessions establishment on the internet
ICISC'02 Proceedings of the 5th international conference on Information security and cryptology
Multilevel heuristic algorithm for graph partitioning
EvoWorkshops'03 Proceedings of the 2003 international conference on Applications of evolutionary computing
EvoWorkshops'03 Proceedings of the 2003 international conference on Applications of evolutionary computing
Combinations of local search and exact algorithms
EvoWorkshops'03 Proceedings of the 2003 international conference on Applications of evolutionary computing
On confidence intervals for the number of local optima
EvoWorkshops'03 Proceedings of the 2003 international conference on Applications of evolutionary computing
Area minimization for grid visibility representation of hierarchically planar graphs
COCOON'99 Proceedings of the 5th annual international conference on Computing and combinatorics
On the complexity of approximating colored-graph problems extended abstract
COCOON'99 Proceedings of the 5th annual international conference on Computing and combinatorics
A dynamic-programming bound for the quadratic assignment problem
COCOON'99 Proceedings of the 5th annual international conference on Computing and combinatorics
Tractable and intractable second-order matching problems
COCOON'99 Proceedings of the 5th annual international conference on Computing and combinatorics
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
Construction of efficient BDDs for bounded arithmetic constraints
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
Register allocation by optimal graph coloring
CC'03 Proceedings of the 12th international conference on Compiler construction
Offset assignment showdown: evaluation of DSP address code optimization algorithms
CC'03 Proceedings of the 12th international conference on Compiler construction
A study of encodings of constraint satisfaction problems with 0/1 variables
ERCIM'02/CologNet'02 Proceedings of the 2002 Joint ERCIM/CologNet international conference on Constraint solving and constraint logic programming
Developmental models for emergent computation
ICES'03 Proceedings of the 5th international conference on Evolvable systems: from biology to hardware
Efficient zero-knowledge proofs for some practical graph problems
SCN'02 Proceedings of the 3rd international conference on Security in communication networks
Text classification using graph mining-based feature extraction
Knowledge-Based Systems
Tree pattern mining with tree automata constraints
Information Systems
Approximation and fixed-parameter algorithms for consecutive ones submatrix problems
Journal of Computer and System Sciences
On computation complexity of the concurrently enabled transition set problem
TAMC'07 Proceedings of the 4th international conference on Theory and applications of models of computation
On deciding deep holes of Reed-Solomon codes
TAMC'07 Proceedings of the 4th international conference on Theory and applications of models of computation
Efficient algorithms for airline problem
TAMC'07 Proceedings of the 4th international conference on Theory and applications of models of computation
Improved exponential time lower bound of Knapsack problem under BT model
TAMC'07 Proceedings of the 4th international conference on Theory and applications of models of computation
Approximation to the minimum rooted star cover problem
TAMC'07 Proceedings of the 4th international conference on Theory and applications of models of computation
Approximability and parameterized complexity of consecutive ones submatrix problems
TAMC'07 Proceedings of the 4th international conference on Theory and applications of models of computation
Parameterized algorithms for weighted matching and packing problems
TAMC'07 Proceedings of the 4th international conference on Theory and applications of models of computation
Combining different types of scale space interest points using canonical sets
SSVM'07 Proceedings of the 1st international conference on Scale space and variational methods in computer vision
Change detection in ontologies using DAG comparison
CAiSE'07 Proceedings of the 19th international conference on Advanced information systems engineering
Algorithms for variable-weighted 2-SAT and dual problems
SAT'07 Proceedings of the 10th international conference on Theory and applications of satisfiability testing
On the boolean connectivity problem for horn relations
SAT'07 Proceedings of the 10th international conference on Theory and applications of satisfiability testing
A first step towards a unified proof checker for QBF
SAT'07 Proceedings of the 10th international conference on Theory and applications of satisfiability testing
Crossing minimization in weighted bipartite graphs
WEA'07 Proceedings of the 6th international conference on Experimental algorithms
Algorithms for the balanced edge partitioning problem
WEA'07 Proceedings of the 6th international conference on Experimental algorithms
A primal branch-and-cut algorithm for the degree-constrained minimum spanning tree problem
WEA'07 Proceedings of the 6th international conference on Experimental algorithms
Identification and evaluation of functional modules in gene co-expression networks
RECOMB'06 Proceedings of the joint 2006 satellite conference on Systems biology and computational proteomics
Regenerator placement with guaranteed connectivity in optical networks
ONDM'07 Proceedings of the 11th international IFIP TC6 conference on Optical network design and modeling
Prefix reversals on binary and ternary strings
AB'07 Proceedings of the 2nd international conference on Algebraic biology
DCOSS'07 Proceedings of the 3rd IEEE international conference on Distributed computing in sensor systems
A generalized critical task anticipation technique for DAG scheduling
ICA3PP'07 Proceedings of the 7th international conference on Algorithms and architectures for parallel processing
An intermediate representation approach to reducing test suites for retargeted compilers
Ada-Europe'07 Proceedings of the 12th international conference on Reliable software technologies
Polynomial time array dataflow analysis
LCPC'01 Proceedings of the 14th international conference on Languages and compilers for parallel computing
A relation-based genetic algorithm for partitioning problems with applications
IEA/AIE'07 Proceedings of the 20th international conference on Industrial, engineering, and other applications of applied intelligent systems
Risk discovery based on recommendation flow analysis on social networks
IEA/AIE'07 Proceedings of the 20th international conference on Industrial, engineering, and other applications of applied intelligent systems
Ant colony optimization with adaptive fitness function for satisfiability testing
WoLLIC'07 Proceedings of the 14th international conference on Logic, language, information and computation
On building hash functions from multivariate quadratic equations
ACISP'07 Proceedings of the 12th Australasian conference on Information security and privacy
Inapproximability of nondeterministic state and transition complexity assuming P ≠ NP
DLT'07 Proceedings of the 11th international conference on Developments in language theory
Abstraction and reformulation in the generation of constraint models: extended abstract
SARA'07 Proceedings of the 7th International conference on Abstraction, reformulation, and approximation
SARA'07 Proceedings of the 7th International conference on Abstraction, reformulation, and approximation
SLS'07 Proceedings of the 2007 international conference on Engineering stochastic local search algorithms: designing, implementing and analyzing effective heuristics
A bidirectional greedy heuristic for the subspace selection problem
SLS'07 Proceedings of the 2007 international conference on Engineering stochastic local search algorithms: designing, implementing and analyzing effective heuristics
Recurrent predictive models for sequence segmentation
IDA'07 Proceedings of the 7th international conference on Intelligent data analysis
Filtering for subgraph isomorphism
CP'07 Proceedings of the 13th international conference on Principles and practice of constraint programming
Pursuit-evasion on trees by robot teams
IEEE Transactions on Robotics
Interference-aware multicasting in wireless mesh networks
NETWORKING'07 Proceedings of the 6th international IFIP-TC6 conference on Ad Hoc and sensor networks, wireless networks, next generation internet
Construction of a proxy-based overlay skeleton tree for large-scale real-time group communications
NETWORKING'07 Proceedings of the 6th international IFIP-TC6 conference on Ad Hoc and sensor networks, wireless networks, next generation internet
Online multicasting in WDM networks with shared light splitter bank
NETWORKING'07 Proceedings of the 6th international IFIP-TC6 conference on Ad Hoc and sensor networks, wireless networks, next generation internet
On the schedulability of measurement conflict in overlay networks
NETWORKING'07 Proceedings of the 6th international IFIP-TC6 conference on Ad Hoc and sensor networks, wireless networks, next generation internet
Proceedings of the 19th international conference on World wide web
Automatic parallelization of simulink applications
Proceedings of the 8th annual IEEE/ACM international symposium on Code generation and optimization
Discrete Applied Mathematics
A column generation method for the multiple-choice multi-dimensional knapsack problem
Computational Optimization and Applications
Cybernetics and Systems Analysis
On computation of Boolean involutive bases
Programming and Computing Software
Optimization of spatiotemporal clustering for target tracking from multisensor data
IEEE Transactions on Systems, Man, and Cybernetics, Part C: Applications and Reviews
New theoretical findings in multiple personalized recommendations
Proceedings of the 2010 ACM Symposium on Applied Computing
A new two level hierarchy structuring for node partitioning in ad hoc networks
Proceedings of the 2010 ACM Symposium on Applied Computing
Proceedings of the 2010 ACM Symposium on Applied Computing
Analysis of multivariate hash functions
ICISC'07 Proceedings of the 10th international conference on Information security and cryptology
Near-optimal mosaic selection for rotating and zooming video cameras
ACCV'07 Proceedings of the 8th Asian conference on Computer vision - Volume Part II
Automatic testing from formal specifications
TAP'07 Proceedings of the 1st international conference on Tests and proofs
A constraint model for state transitions in disjunctive resources
CSCLP'06 Proceedings of the constraint solving and contraint logic programming 11th annual ERCIM international conference on Recent advances in constraints
FPGA implementation of an adaptive stochastic neural model
ICANN'07 Proceedings of the 17th international conference on Artificial neural networks
Accepting networks of splicing processors with filtered connections
MCU'07 Proceedings of the 5th international conference on Machines, computations, and universality
Guiding ACO by problem relaxation: a case study on the symmetric TSP
HM'07 Proceedings of the 4th international conference on Hybrid metaheuristics
A memetic algorithm for the optimum communication spanning tree problem
HM'07 Proceedings of the 4th international conference on Hybrid metaheuristics
Computational complexity of generalized domination: a complete dichotomy for chordal graphs
WG'07 Proceedings of the 33rd international conference on Graph-theoretic concepts in computer science
On finding graph clusterings with maximum modularity
WG'07 Proceedings of the 33rd international conference on Graph-theoretic concepts in computer science
Complexity and approximation results for the connected vertex cover problem
WG'07 Proceedings of the 33rd international conference on Graph-theoretic concepts in computer science
Recognition of polygon-circle graphs and graphs of interval filaments is NP-complete
WG'07 Proceedings of the 33rd international conference on Graph-theoretic concepts in computer science
The complexity of bottleneck labeled graph problems
WG'07 Proceedings of the 33rd international conference on Graph-theoretic concepts in computer science
Sensor-mission assignment in wireless sensor networks
ACM Transactions on Sensor Networks (TOSN)
Practical cryptanalysis of SFLASH
CRYPTO'07 Proceedings of the 27th annual international cryptology conference on Advances in cryptology
On the running time analysis of the (1+1) evolutionary algorithm for the subset sum problem
LSMS'07 Proceedings of the Life system modeling and simulation 2007 international conference on Bio-Inspired computational intelligence and applications
Polynomial time algorithms for minimum energy scheduling
ESA'07 Proceedings of the 15th annual European conference on Algorithms
Determining the smallest k such that G is k-outerplanar
ESA'07 Proceedings of the 15th annual European conference on Algorithms
Bundle pricing with comparable items
ESA'07 Proceedings of the 15th annual European conference on Algorithms
On the complexity of sequential rectangle placement in IEEE 802.16/WiMAX systems
ESA'07 Proceedings of the 15th annual European conference on Algorithms
On approximating minimum infrequent and maximum frequent sets
DS'07 Proceedings of the 10th international conference on Discovery science
On-chip bus architecture optimization for multi-core SoC systems
SEUS'07 Proceedings of the 5th IFIP WG 10.2 international conference on Software technologies for embedded and ubiquitous systems
Exact algorithms for generalized combinatorial optimization problems
COCOA'07 Proceedings of the 1st international conference on Combinatorial optimization and applications
A lagrangian relaxation approach for the multiple sequence alignment problem
COCOA'07 Proceedings of the 1st international conference on Combinatorial optimization and applications
A lower bound on approximation algorithms for the closest substring problem
COCOA'07 Proceedings of the 1st international conference on Combinatorial optimization and applications
An effective multi-level algorithm based on simulated annealing for bisecting graph
EMMCVPR'07 Proceedings of the 6th international conference on Energy minimization methods in computer vision and pattern recognition
Task scheduling for context minimization in dynamically reconfigurable platforms
EUC'07 Proceedings of the 2007 international conference on Embedded and ubiquitous computing
Protein structure alignment using maximum cliques and local search
AI'07 Proceedings of the 20th Australian joint conference on Advances in artificial intelligence
Coloring triangle-free graphs on surfaces
ISAAC'07 Proceedings of the 18th international conference on Algorithms and computation
The complexity of finding subgraphs whose matching number equals the vertex cover number
ISAAC'07 Proceedings of the 18th international conference on Algorithms and computation
Spanning trees with many leaves in regular bipartite graphs
ISAAC'07 Proceedings of the 18th international conference on Algorithms and computation
Satisfiability of algebraic circuits over sets of natural numbers
FSTTCS'07 Proceedings of the 27th international conference on Foundations of software technology and theoretical computer science
Markov decision processes with multiple long-run average objectives
FSTTCS'07 Proceedings of the 27th international conference on Foundations of software technology and theoretical computer science
(UREM) P systems with a quantum-like behavior: background, definition, and computational power
WMC'07 Proceedings of the 8th international conference on Membrane computing
Solving numerical NP-complete problems with spiking neural P systems
WMC'07 Proceedings of the 8th international conference on Membrane computing
Cost-balancing tolls for atomic network congestion games
WINE'07 Proceedings of the 3rd international conference on Internet and network economics
WINE'07 Proceedings of the 3rd international conference on Internet and network economics
On the complexity of pure Nash equilibria in player-specific network congestion games
WINE'07 Proceedings of the 3rd international conference on Internet and network economics
SAPC: a secure aggregation protocol for cluster-based wireless sensor networks
MSN'07 Proceedings of the 3rd international conference on Mobile ad-hoc and sensor networks
Approximation algorithms for scheduling with reservations
HiPC'07 Proceedings of the 14th international conference on High performance computing
HiPC'07 Proceedings of the 14th international conference on High performance computing
HiPC'07 Proceedings of the 14th international conference on High performance computing
Channel adaptive real-time MAC protocols for a two-level heterogeneous wireless network
HiPC'07 Proceedings of the 14th international conference on High performance computing
EETO: an energy-efficient target-oriented clustering protocol in wireless sensor networks
ICDCIT'07 Proceedings of the 4th international conference on Distributed computing and internet technology
Very large-scale neighborhood search techniques in timetabling problems
PATAT'06 Proceedings of the 6th international conference on Practice and theory of automated timetabling VI
Timetabling problems at the TU eindhoven
PATAT'06 Proceedings of the 6th international conference on Practice and theory of automated timetabling VI
Linear linkage encoding in grouping problems: applications on graph coloring and timetabling
PATAT'06 Proceedings of the 6th international conference on Practice and theory of automated timetabling VI
Ant algorithms for the exam timetabling problem
PATAT'06 Proceedings of the 6th international conference on Practice and theory of automated timetabling VI
Reachability and dependency calculi: reasoning in network algebras
SC'06 Proceedings of the 2006 international conference on Spatial Cognition V: reasoning, action, interaction
Two-stage ACO to solve the job shop scheduling problem
CIARP'07 Proceedings of the Congress on pattern recognition 12th Iberoamerican conference on Progress in pattern recognition, image analysis and applications
Enhanced loop coalescing: a compiler technique for transforming non-uniform iteration spaces
ISHPC'05/ALPS'06 Proceedings of the 6th international symposium on high-performance computing and 1st international conference on Advanced low power systems
Querying structural and behavioral properties of business processes
DBPL'07 Proceedings of the 11th international conference on Database programming languages
Efficient algorithms for disjoint matchings among intervals and related problems
DMTCS'03 Proceedings of the 4th international conference on Discrete mathematics and theoretical computer science
Mining closed frequent free trees in graph databases
DASFAA'07 Proceedings of the 12th international conference on Database systems for advanced applications
Privacy-preserving frequent pattern sharing
DASFAA'07 Proceedings of the 12th international conference on Database systems for advanced applications
HMP: hotspot mitigation protocol for mobile ad hoc networks
IWQoS'03 Proceedings of the 11th international conference on Quality of service
Continuous medoid queries over moving objects
SSTD'07 Proceedings of the 10th international conference on Advances in spatial and temporal databases
Prioritized preferences and choice constraints
ER'07 Proceedings of the 26th international conference on Conceptual modeling
Information hiding in software with mixed Boolean-arithmetic transforms
WISA'07 Proceedings of the 8th international conference on Information security applications
On the hardness of reoptimization
SOFSEM'08 Proceedings of the 34th conference on Current trends in theory and practice of computer science
Code arrangement of embedded java virtual machine for NAND flash memory
HiPEAC'08 Proceedings of the 3rd international conference on High performance embedded architectures and compilers
The buffered work-pool approach for search-tree based optimization algorithms
PPAM'07 Proceedings of the 7th international conference on Parallel processing and applied mathematics
Parallel simulated annealing algorithm for graph coloring problem
PPAM'07 Proceedings of the 7th international conference on Parallel processing and applied mathematics
Scheduling in multi-organization grids: measuring the inefficiency of decentralization
PPAM'07 Proceedings of the 7th international conference on Parallel processing and applied mathematics
Term weighting evaluation in bipartite partitioning for text clustering
AIRS'08 Proceedings of the 4th Asia information retrieval conference on Information retrieval technology
Golden ratio annealing for satisfiability problems using dynamically cooling schemes
ISMIS'08 Proceedings of the 17th international conference on Foundations of intelligent systems
ISMIS'08 Proceedings of the 17th international conference on Foundations of intelligent systems
Expert Systems with Applications: An International Journal
New results on optimizing rooted triplets consistency
Discrete Applied Mathematics
An improved approximation algorithm for the maximum TSP
Theoretical Computer Science
Optimal placement of ad-hoc devices under a VCG-style routing protocol
ALGOSENSORS'07 Proceedings of the 3rd international conference on Algorithmic aspects of wireless sensor networks
Vertex domination in dynamic networks
WALCOM'08 Proceedings of the 2nd international conference on Algorithms and computation
Simple geometrical intersection graphs
WALCOM'08 Proceedings of the 2nd international conference on Algorithms and computation
Four-connected spanning subgraphs of doughnut graphs
WALCOM'08 Proceedings of the 2nd international conference on Algorithms and computation
Inverse booking problem: inverse chromatic number problem in interval graphs
WALCOM'08 Proceedings of the 2nd international conference on Algorithms and computation
About keys of formal context and conformal hypergraph
ICFCA'08 Proceedings of the 6th international conference on Formal concept analysis
On the complexity of computing generators of closed sets
ICFCA'08 Proceedings of the 6th international conference on Formal concept analysis
Scale coarsening as feature selection
ICFCA'08 Proceedings of the 6th international conference on Formal concept analysis
Reconstruction of networks from their betweenness centrality
Evo'08 Proceedings of the 2008 conference on Applications of evolutionary computing
Control flow emulation on tiled SIMD architectures
CC'08/ETAPS'08 Proceedings of the Joint European Conferences on Theory and Practice of Software 17th international conference on Compiler construction
Key recovery on hidden monomial multivariate schemes
EUROCRYPT'08 Proceedings of the theory and applications of cryptographic techniques 27th annual international conference on Advances in cryptology
Cryptanalysis of the TRMS signature scheme of PKC'05
AFRICACRYPT'08 Proceedings of the Cryptology in Africa 1st international conference on Progress in cryptology
IPCO'08 Proceedings of the 13th international conference on Integer programming and combinatorial optimization
Budgeted matching and budgeted matroid intersection via the gasoline puzzle
IPCO'08 Proceedings of the 13th international conference on Integer programming and combinatorial optimization
New differential-algebraic attacks and reparametrization of rainbow
ACNS'08 Proceedings of the 6th international conference on Applied cryptography and network security
Constraint representations and structural tractability
CP'09 Proceedings of the 15th international conference on Principles and practice of constraint programming
Computing role assignments of chordal graphs
FCT'09 Proceedings of the 17th international conference on Fundamentals of computation theory
Wheel-free deletion is W[2]-hard
IWPEC'08 Proceedings of the 3rd international conference on Parameterized and exact computation
A linear kernel for planar feedback vertex set
IWPEC'08 Proceedings of the 3rd international conference on Parameterized and exact computation
Nenofex: expanding NNF for QBF solving
SAT'08 Proceedings of the 11th international conference on Theory and applications of satisfiability testing
Reduced computational complexity beamspace beamforming design for MIMO multicast systems
NTMS'09 Proceedings of the 3rd international conference on New technologies, mobility and security
Understanding planning tasks: domain complexity and heuristic decomposition
Understanding planning tasks: domain complexity and heuristic decomposition
Modeling Protein Interacting Groups by Quasi-Bicliques: Complexity, Algorithm, and Application
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Grid computing: experiment management, tool integration, and scientific workflows
Grid computing: experiment management, tool integration, and scientific workflows
Integer optimization by local search: a domain-independent approach
Integer optimization by local search: a domain-independent approach
Non-standard inferences in description logics
Non-standard inferences in description logics
Quality-driven query answering for integrated information systems
Quality-driven query answering for integrated information systems
Qualitative spatial reasoning with topological information
Qualitative spatial reasoning with topological information
Extending model checking to data-aware temporal properties of web services
WS-FM'07 Proceedings of the 4th international conference on Web services and formal methods
On the complexity of restricted k-anonymity problem
APWeb'08 Proceedings of the 10th Asia-Pacific web conference on Progress in WWW research and development
Distance constrained labelings of trees
TAMC'08 Proceedings of the 5th international conference on Theory and applications of models of computation
Inapproximability of maximum weighted edge biclique and its applications
TAMC'08 Proceedings of the 5th international conference on Theory and applications of models of computation
A distributed algorithm for overlay backbone multicast routing in content delivery networks
NETWORKING'08 Proceedings of the 7th international IFIP-TC6 networking conference on AdHoc and sensor networks, wireless networks, next generation internet
Cooperative particle swarm optimization for the delay constrained least cost path problem
EvoCOP'08 Proceedings of the 8th European conference on Evolutionary computation in combinatorial optimization
Complexity of decision problems for mixed and modal specifications
FOSSACS'08/ETAPS'08 Proceedings of the Theory and practice of software, 11th international conference on Foundations of software science and computational structures
Maximizing the minimum load for selfish agents
LATIN'08 Proceedings of the 8th Latin American conference on Theoretical informatics
Scaling of plane figures that assures faithful digitization
IWCIA'08 Proceedings of the 12th international conference on Combinatorial image analysis
A reasoning framework for solving nonograms
IWCIA'08 Proceedings of the 12th international conference on Combinatorial image analysis
Approximation algorithms for 2-source minimum routing cost k-tree problems
ICCSA'07 Proceedings of the 2007 international conference on Computational science and its applications - Volume Part III
Worst-case evaluation of flexible solutions in disjunctive scheduling problems
ICCSA'07 Proceedings of the 2007 international conference on Computational science and its applications - Volume Part III
Low-complexity non-uniform demand multicast network coding problems
Allerton'09 Proceedings of the 47th annual Allerton conference on Communication, control, and computing
Complementarities in spectrum markets
Allerton'09 Proceedings of the 47th annual Allerton conference on Communication, control, and computing
Minimizing network cost in all-optical networks
ANTS'09 Proceedings of the 3rd international conference on Advanced networks and telecommunication systems
On multi-behavior based multi-colony ant algorithm for TSP
IITA'09 Proceedings of the 3rd international conference on Intelligent information technology application
Bisimulation-based approximate lifted inference
UAI '09 Proceedings of the Twenty-Fifth Conference on Uncertainty in Artificial Intelligence
Heuristics for the bandwidth colouring problem
International Journal of Metaheuristics
Backtracking search algorithm for satisfiability degree calculation
FSKD'09 Proceedings of the 6th international conference on Fuzzy systems and knowledge discovery - Volume 2
An integrated approach for scheduling divisible load on large scale data grids
ICCSA'07 Proceedings of the 2007 international conference on Computational science and its applications - Volume Part I
A heuristic algorithm for solving the network expanded problem on wireless ATM environment
ICCSA'07 Proceedings of the 2007 international conference on Computational science and its applications - Volume Part I
OCSA: an algorithm for burst mapping in IEEE 802.16e mobile WiMAX networks
APCC'09 Proceedings of the 15th Asia-Pacific conference on Communications
Locating multiple gene duplications through reconciled trees
RECOMB'08 Proceedings of the 12th annual international conference on Research in computational molecular biology
Nonuniform Boolean constraint satisfaction problems with cardinality constraint
ACM Transactions on Computational Logic (TOCL)
The role mining problem: A formal perspective
ACM Transactions on Information and System Security (TISSEC)
Property-aware program sampling
Proceedings of the 9th ACM SIGPLAN-SIGSOFT workshop on Program analysis for software tools and engineering
An improved LP-based approximation for steiner tree
Proceedings of the forty-second ACM symposium on Theory of computing
On the hardness of the noncommutative determinant
Proceedings of the forty-second ACM symposium on Theory of computing
Conditional hardness of precedence constrained scheduling on identical machines
Proceedings of the forty-second ACM symposium on Theory of computing
Data conflict resolution using trust mappings
Proceedings of the 2010 ACM SIGMOD International Conference on Management of data
Constructing and exploring composite items
Proceedings of the 2010 ACM SIGMOD International Conference on Management of data
Connected substructure similarity search
Proceedings of the 2010 ACM SIGMOD International Conference on Management of data
Reasoning about cyclic space: axiomatic and computational aspects
Spatial cognition III
Random graph generation for scheduling simulations
Proceedings of the 3rd International ICST Conference on Simulation Tools and Techniques
Journal of Discrete Algorithms
On the approximation of the generalized capacitated tree-routing problem
Journal of Discrete Algorithms
Computing sharp 2-factors in claw-free graphs
Journal of Discrete Algorithms
Partial observability and learnability
Artificial Intelligence
Combining finite learning automata with GSAT for the satisfiability problem
Engineering Applications of Artificial Intelligence
Run-time HW/SW scheduling of data flow applications on reconfigurable architectures
EURASIP Journal on Embedded Systems - Special issue on design and architectures for signal and image processing
Algorithms for sensor and ad hoc networks: advanced lectures
Algorithms for sensor and ad hoc networks: advanced lectures
Approximability and inapproximability of the minimum certificate dispersal problem
Theoretical Computer Science
Efficient frequent connected subgraph mining in graphs of bounded tree-width
Theoretical Computer Science
A kernelization algorithm for d-Hitting Set
Journal of Computer and System Sciences
Counting complexity of propositional abduction
Journal of Computer and System Sciences
Assigning tasks for efficiency in Hadoop: extended abstract
Proceedings of the twenty-second annual ACM symposium on Parallelism in algorithms and architectures
Computing the throughput of probabilistic and replicated streaming applications
Proceedings of the twenty-second annual ACM symposium on Parallelism in algorithms and architectures
Automatic construction of travel itineraries using social breadcrumbs
Proceedings of the 21st ACM conference on Hypertext and hypermedia
Two models of parallel ACO algorithms for the minimum tardy task problem
International Journal of High Performance Systems Architecture
Efficient mapping of an image processing application for a network-on-chip based implementation
International Journal of High Performance Systems Architecture
A novel strategy for multi-resource load balancing in agent-based systems
International Journal of Intelligent Information and Database Systems
Particle swarm optimisation for the design of two-connected networks with bounded rings
International Journal of High Performance Systems Architecture
B2P2: bounds based procedure placement for instruction TLB power reduction in embedded systems
Proceedings of the 13th International Workshop on Software & Compilers for Embedded Systems
DEM: a discrete electromagnetism-like mechanism for solving discrete problems
CIRA'09 Proceedings of the 8th IEEE international conference on Computational intelligence in robotics and automation
Distributed joint resource allocation in multi-radio multi-channel wireless mesh networks
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Design method of robust networks against performance deterioration during failures
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Network topology discovery through self-constrained decisions
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Analyzing two different objectives of the WDM lightpath reconfiguration problem
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Predicting gene structures from multiple RT-PCR tests
WABI'09 Proceedings of the 9th international conference on Algorithms in bioinformatics
A general framework for local pairwise alignment statistics with gaps
WABI'09 Proceedings of the 9th international conference on Algorithms in bioinformatics
FlexSnap: flexible non-sequential protein structure alignment
WABI'09 Proceedings of the 9th international conference on Algorithms in bioinformatics
Aligning biomolecular networks using modular graph kernels
WABI'09 Proceedings of the 9th international conference on Algorithms in bioinformatics
Keep a few: outsourcing data while maintaining confidentiality
ESORICS'09 Proceedings of the 14th European conference on Research in computer security
Set covering problems in role-based access control
ESORICS'09 Proceedings of the 14th European conference on Research in computer security
A local 2-approximation algorithm for the vertex cover problem
DISC'09 Proceedings of the 23rd international conference on Distributed computing
Completing networks using observed data
ALT'09 Proceedings of the 20th international conference on Algorithmic learning theory
COLA: optimizing stream processing applications via graph partitioning
Middleware'09 Proceedings of the ACM/IFIP/USENIX 10th international conference on Middleware
The polynomial dichotomy for three nonempty part sandwich problems
Discrete Applied Mathematics
Reachability in Tree-Like Component Systems is PSPACE-Complete
Electronic Notes in Theoretical Computer Science (ENTCS)
The minimum reload s-t path, trail and walk problems
Discrete Applied Mathematics
Computational complexity of tissue-like P systems
Journal of Complexity
Energy-aware online routing with QoS constraints in multi-rate wireless ad hoc networks
Proceedings of the 6th International Wireless Communications and Mobile Computing Conference
Thread tailor: dynamically weaving threads together for efficient, adaptive parallel applications
Proceedings of the 37th annual international symposium on Computer architecture
Constrained relay node placement in wireless sensor networks: formulation and approximations
IEEE/ACM Transactions on Networking (TON)
Maximizing restorable throughput in MPLS networks
IEEE/ACM Transactions on Networking (TON)
On burst transmission scheduling in mobile TV broadcast networks
IEEE/ACM Transactions on Networking (TON)
Computational analysis and efficient algorithms for micro and macro OFDMA downlink scheduling
IEEE/ACM Transactions on Networking (TON)
On the minimum k-connectivity repair in wireless sensor networks
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Approximating maximum directed flow in a large wireless network
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
On the efficiency of dynamic routing of connections with known duration
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Message passing resource allocation for the uplink of multicarrier systems
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Recipient maximization routing scheme for multicast over IEEE 802.16j relay networks
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
On the hardness of approximating stopping and trapping sets
IEEE Transactions on Information Theory
Nonlinear network optimization: an embedding vector space approach
IEEE Transactions on Evolutionary Computation
Characterizing contract-based multiagent resource allocation in networks
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics - Special issue on game theory
Frame-based multicast switching
IEEE Communications Letters
Deterministic robot-network localization is hard
IEEE Transactions on Robotics
IEEE Transactions on Wireless Communications
An MST-based network architecture for sharing broadcast TV programs
IEEE Communications Magazine
An improved kernelization algorithm for r-Set Packing
Information Processing Letters
AllDifferent-based filtering for subgraph isomorphism
Artificial Intelligence
The geometry of quantum learning
Quantum Information Processing
Location-centric storage and query in wireless sensor networks
Wireless Networks
Exploiting multi-interface networks: Connectivity and Cheapest Paths
Wireless Networks
Run-time Task Overlapping on Multiprocessor Platforms
Journal of Signal Processing Systems
Chemical-reaction-inspired metaheuristic for optimization
IEEE Transactions on Evolutionary Computation
IEEE Transactions on Intelligent Transportation Systems
Frequent subgraph discovery in dynamic networks
Proceedings of the Eighth Workshop on Mining and Learning with Graphs
Breaking ties with secondary fitness in a genetic algorithm for the bin packing problem
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Negative selection algorithms without generating detectors
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Parallel FPGA-based implementation of scatter search
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Approximate backbone based multilevel algorithm for next release problem
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Elementary landscape decomposition of the quadratic assignment problem
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Entropy profiles of ranked and random populations
Proceedings of the 12th annual conference companion on Genetic and evolutionary computation
Network coding-based reliable multicast in wireless networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Topology discovery for virtual local area networks
INFOCOM'10 Proceedings of the 29th conference on Information communications
Mobile real-time group communication service
INFOCOM'10 Proceedings of the 29th conference on Information communications
Joint routing and scheduling in multi-hop wireless networks with directional antennas
INFOCOM'10 Proceedings of the 29th conference on Information communications
INFOCOM'10 Proceedings of the 29th conference on Information communications
Multi-channel assignment in wireless sensor networks: a game theoretic approach
INFOCOM'10 Proceedings of the 29th conference on Information communications
Improving the scalability of data center networks with traffic-aware virtual machine placement
INFOCOM'10 Proceedings of the 29th conference on Information communications
INFOCOM'10 Proceedings of the 29th conference on Information communications
Efficient two-dimensional data allocation in IEEE 802.16 OFDMA
INFOCOM'10 Proceedings of the 29th conference on Information communications
Reliable adaptive multipath provisioning with bandwidth and differential delay constraints
INFOCOM'10 Proceedings of the 29th conference on Information communications
INFOCOM'10 Proceedings of the 29th conference on Information communications
Energy efficient network design tool for green IP/Ethernet networks
ONDM'10 Proceedings of the 14th conference on Optical network design and modeling
WD'09 Proceedings of the 2nd IFIP conference on Wireless days
Energy, bandwidth and time efficiency in data gathering applications
WD'09 Proceedings of the 2nd IFIP conference on Wireless days
EDFS a novel flooding protocol for multi-hop wireless networks
WONS'10 Proceedings of the 7th international conference on Wireless on-demand network systems and services
Interference-aware robust topology design in multi-channel wireless mesh networks
CCNC'10 Proceedings of the 7th IEEE conference on Consumer communications and networking conference
Separating Multi-Color Points on a Plane with Fewest Axis-Parallel Lines
Fundamenta Informaticae
Brief announcement: complexity and solution of the send-receive correlation problem
Proceedings of the 29th ACM SIGACT-SIGOPS symposium on Principles of distributed computing
Versatile publishing for privacy preservation
Proceedings of the 16th ACM SIGKDD international conference on Knowledge discovery and data mining
Management and optimal distribution of large student numbers
Proceedings of the First Kuwait Conference on e-Services and e-Systems
DUST: a generalized notion of similarity between uncertain time series
Proceedings of the 16th ACM SIGKDD international conference on Knowledge discovery and data mining
Proceedings of the 47th Design Automation Conference
Manipulation of copeland elections
Proceedings of the 9th International Conference on Autonomous Agents and Multiagent Systems: volume 1 - Volume 1
Complexity of social welfare optimization in multiagent resource allocation
Proceedings of the 9th International Conference on Autonomous Agents and Multiagent Systems: volume 1 - Volume 1
Monotone cooperative games and their threshold versions
Proceedings of the 9th International Conference on Autonomous Agents and Multiagent Systems: volume 1 - Volume 1
Proceedings of the 9th International Conference on Autonomous Agents and Multiagent Systems: volume 1 - Volume 1
A counterexample-guided abstraction-refinement framework for markov decision processes
ACM Transactions on Computational Logic (TOCL)
Unicast and multicast QoS routing with soft-constraint logic programming
ACM Transactions on Computational Logic (TOCL)
Solving the Order-Preserving Submatrix Problem via Integer Programming
INFORMS Journal on Computing
The Valve Location Problem in Simple Network Topologies
INFORMS Journal on Computing
Use of the TRIPOD overlay network for resource discovery
Future Generation Computer Systems
Scheduling jobs on computational grids using a fuzzy particle swarm optimization algorithm
Future Generation Computer Systems
A dynamic programming algorithm for tree-like weighted set packing problem
Information Sciences: an International Journal
Reliability-aware scheduling strategy for heterogeneous distributed computing systems
Journal of Parallel and Distributed Computing
Energy-efficient cooperative data aggregation for wireless sensor networks
Journal of Parallel and Distributed Computing
Resource allocation algorithms for virtualized service hosting platforms
Journal of Parallel and Distributed Computing
A multi-criteria optimization framework for industrial shop scheduling using fuzzy set theory
Integrated Computer-Aided Engineering
IEEE Transactions on Information Theory
Power-efficient variation-aware photonic on-chip network management
Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
Irregular Packing Using the Line and Arc No-Fit Polygon
Operations Research
A subclass of Horn CNFs optimally compressible in polynomial time
Annals of Mathematics and Artificial Intelligence
BIEA: a novel evolutionary algorithm for nonlinear constrained programming
CAR'10 Proceedings of the 2nd international Asia conference on Informatics in control, automation and robotics - Volume 2
Ant colony optimization applied to minimum weight dominating set problem
ACMOS'10 Proceedings of the 12th WSEAS international conference on Automatic control, modelling & simulation
Computational complexity of long paths and cycles in faulty hypercubes
Theoretical Computer Science
Improved upper bounds for vertex cover
Theoretical Computer Science
Computing role assignments of chordal graphs
Theoretical Computer Science
A fuzzy rule-based meta-scheduler with evolutionary learning for grid computing
Engineering Applications of Artificial Intelligence
Event-based MILP models for resource-constrained project scheduling problems
Computers and Operations Research
Computers and Operations Research
A tabu search algorithm for the heterogeneous fixed fleet vehicle routing problem
Computers and Operations Research
On the application of graph colouring techniques in round-robin sports scheduling
Computers and Operations Research
Size-reduction heuristics for the unrelated parallel machines scheduling problem
Computers and Operations Research
Connected facility location via random facility sampling and core detouring
Journal of Computer and System Sciences
Towards a dichotomy for the Possible Winner problem in elections based on scoring rules
Journal of Computer and System Sciences
The little engine(s) that could: scaling online social networks
Proceedings of the ACM SIGCOMM 2010 conference
Frequent subgraph mining in outerplanar graphs
Data Mining and Knowledge Discovery
Heuristics for the central tree problem
Journal of Heuristics
The continuous hopfield networks (CHN) for the placement of the electronic circuits problem
WSEAS Transactions on Computers
Proceedings of the 2010 Symposium on Information and Communication Technology
Vertex-bipartition method for colouring minor-closed classes of graphs
Combinatorics, Probability and Computing
Note: A polyhedral study of triplet formulation for single row facility layout problem
Discrete Applied Mathematics
A software integration approach for designing and assessing dependable embedded systems
Journal of Systems and Software
A self-stabilizing k-clustering algorithm for weighted graphs
Journal of Parallel and Distributed Computing
An effective compaction strategy for bi-criteria DAG scheduling in grids
International Journal of Communication Networks and Distributed Systems
Constructing traffic-aware overlay topologies: a machine learning approach
IPTPS'08 Proceedings of the 7th international conference on Peer-to-peer systems
LiteGreen: saving energy in networked desktops using virtualization
USENIXATC'10 Proceedings of the 2010 USENIX conference on USENIX annual technical conference
The complexity of RP selection in multicast channelization
MILCOM'09 Proceedings of the 28th IEEE conference on Military communications
MILCOM'09 Proceedings of the 28th IEEE conference on Military communications
Maximizing throughput for overlaid cognitive radio networks
MILCOM'09 Proceedings of the 28th IEEE conference on Military communications
Optimum routing protection against cumulative eavesdropping in multihop wireless networks
MILCOM'09 Proceedings of the 28th IEEE conference on Military communications
Toward boosting distributed association rule mining by data de-clustering
Information Sciences: an International Journal
Balancing fuzzy multi-objective two-sided assembly lines via Bees Algorithm
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology - FUZZYSS’2009
Popularity-guided top-k extraction of entity attributes
Procceedings of the 13th International Workshop on the Web and Databases
The Journal of Machine Learning Research
Fast and Scalable Local Kernel Machines
The Journal of Machine Learning Research
On quality of monitoring for multi-channel wireless infrastructure networks
Proceedings of the eleventh ACM international symposium on Mobile ad hoc networking and computing
Kernel functions for case-based planning
Artificial Intelligence
Data transmission and base-station placement for optimizing network lifetime
Proceedings of the 6th International Workshop on Foundations of Mobile Computing
The Necessity of Bounded Treewidth for Efficient Inference in Bayesian Networks
Proceedings of the 2010 conference on ECAI 2010: 19th European Conference on Artificial Intelligence
Decision-theoretic Optimal Sampling in Hidden Markov Random Fields
Proceedings of the 2010 conference on ECAI 2010: 19th European Conference on Artificial Intelligence
A fluid approach to large volume job shop scheduling
Journal of Scheduling
Multicriteria, multi-user scheduling in grids with advance reservation
Journal of Scheduling
Multiprocessor scheduling by generalized extremal optimization
Journal of Scheduling
Journal of Automated Reasoning
Interactive cost configuration over decision diagrams
Journal of Artificial Intelligence Research
Noninteractive pairwise key establishment for sensor networks
IEEE Transactions on Information Forensics and Security
A fixed-parameter algorithm for string-to-string correction
CATS '10 Proceedings of the Sixteenth Symposium on Computing: the Australasian Theory - Volume 109
Control complexity in fallback voting
CATS '10 Proceedings of the Sixteenth Symposium on Computing: the Australasian Theory - Volume 109
A novel frequency planning algorithm for mitigating unfairness in wireless LANs
Computer Networks: The International Journal of Computer and Telecommunications Networking
Relative information completeness
ACM Transactions on Database Systems (TODS)
An efficient low-power buffer insertion with time and area constraints
ICC'10 Proceedings of the 14th WSEAS international conference on Circuits
A polynomial-time algorithm for a special case of minimizing the lateness on a single machine
AMERICAN-MATH'10 Proceedings of the 2010 American conference on Applied mathematics
AAAI'96 Proceedings of the thirteenth national conference on Artificial intelligence - Volume 2
A computational model of persistent belief
AAAI'96 Proceedings of the thirteenth national conference on Artificial intelligence - Volume 2
Information Processing Letters
Reoptimizing the 0-1 knapsack problem
Discrete Applied Mathematics
A generic approach to proving NP-hardness of partition type problems
Discrete Applied Mathematics
Use of the Szeged index and the revised Szeged index for measuring network bipartivity
Discrete Applied Mathematics
A novel composite model approach to improve software quality prediction
Information and Software Technology
Spiking neural p systems with weights
Neural Computation
Probabilistic semantics for cost based abduction
AAAI'90 Proceedings of the eighth National conference on Artificial intelligence - Volume 1
Abductive and default reasoning: a computational core
AAAI'90 Proceedings of the eighth National conference on Artificial intelligence - Volume 1
AAAI'90 Proceedings of the eighth National conference on Artificial intelligence - Volume 1
Terminological cycles in KL-ONE-based knowledge representation languages
AAAI'90 Proceedings of the eighth National conference on Artificial intelligence - Volume 1
Using attention in belief revision
AAAI'91 Proceedings of the ninth National conference on Artificial intelligence - Volume 1
Deduction as parsing: tractable classification in the KL-ONE framework
AAAI'91 Proceedings of the ninth National conference on Artificial intelligence - Volume 1
On the NP-ardness of blocks world
AAAI'91 Proceedings of the ninth National conference on Artificial intelligence - Volume 2
Complexity results for blocks-world planning
AAAI'91 Proceedings of the ninth National conference on Artificial intelligence - Volume 2
International Journal of Autonomous and Adaptive Communications Systems
A metric for rooted trees with unlabeled vertices based on nested parentheses
Theoretical Computer Science
Fast approximation algorithms for job scheduling with processing set restrictions
Theoretical Computer Science
On the Boolean connectivity problem for Horn relations
Discrete Applied Mathematics
On the complexity of domain-independent planning
AAAI'92 Proceedings of the tenth national conference on Artificial intelligence
Efficient propositional constraint propagation
AAAI'92 Proceedings of the tenth national conference on Artificial intelligence
A new method for solving hard satisfiability problems
AAAI'92 Proceedings of the tenth national conference on Artificial intelligence
Hard and easy distributions of SAT problems
AAAI'92 Proceedings of the tenth national conference on Artificial intelligence
Can real-time search algorithms meet deadlines?
AAAI'92 Proceedings of the tenth national conference on Artificial intelligence
On the computational complexity of temporal projection and plan validation
AAAI'92 Proceedings of the tenth national conference on Artificial intelligence
The complexity of propositional default logics
AAAI'92 Proceedings of the tenth national conference on Artificial intelligence
Experimental results on the crossover point in satisfiability problems
AAAI'93 Proceedings of the eleventh national conference on Artificial intelligence
Integrating heuristics for constraint satisfaction problems: a case study
AAAI'93 Proceedings of the eleventh national conference on Artificial intelligence
Slack-based heuristics for constraint satisfaction scheduling
AAAI'93 Proceedings of the eleventh national conference on Artificial intelligence
Negotiation on data allocation in multi-agent environments
AAAI'97/IAAI'97 Proceedings of the fourteenth national conference on artificial intelligence and ninth conference on Innovative applications of artificial intelligence
Detecting unsatisfiable CSPs by coloring the micro-structure
AAAI'97/IAAI'97 Proceedings of the fourteenth national conference on artificial intelligence and ninth conference on Innovative applications of artificial intelligence
Beyond contention: extending texture-based scheduling heuristics
AAAI'97/IAAI'97 Proceedings of the fourteenth national conference on artificial intelligence and ninth conference on Innovative applications of artificial intelligence
Texture-based heuristics for scheduling revisited
AAAI'97/IAAI'97 Proceedings of the fourteenth national conference on artificial intelligence and ninth conference on Innovative applications of artificial intelligence
Tradeoffs and Average-Case Equilibria in Selfish Routing
ACM Transactions on Computation Theory (TOCT)
FPGA implementation of a Greedy algorithm for set covering
RTC'05 Proceedings of the 14th IEEE-NPSS conference on Real time
Complexity of the acquisition of phonotactics in optimality theory
SIGMORPHON '10 Proceedings of the 11th Meeting of the ACL Special Interest Group on Computational Morphology and Phonology
Proceedings of the Conference on Design, Automation and Test in Europe
Pareto efficient design for reconfigurable streaming applications on CPU/FPGAs
Proceedings of the Conference on Design, Automation and Test in Europe
Inversed temperature dependence aware clock skew scheduling for sequential circuits
Proceedings of the Conference on Design, Automation and Test in Europe
WS-GraphMatching: a web service tool for graph matching
CIKM '10 Proceedings of the 19th ACM international conference on Information and knowledge management
On the unimodality of independence polynomials of some graphs
European Journal of Combinatorics
On the Polya permanent problem over finite fields
European Journal of Combinatorics
On families of convex polytopes with constant metric dimension
Computers & Mathematics with Applications
Resource allocation with time intervals
Theoretical Computer Science
On the complexity of deciding avoidability of sets of partial words
Theoretical Computer Science
A survey on multi-constrained optimal path computation: Exact and approximate algorithms
Computer Networks: The International Journal of Computer and Telecommunications Networking
Managing networks through context: Graph visualization and exploration
Computer Networks: The International Journal of Computer and Telecommunications Networking
Journal of Global Optimization
Journal of Global Optimization
Semidefinite approximations for quadratic programs over orthogonal matrices
Journal of Global Optimization
Fraud detection in play-money prediction markets
Information Systems and e-Business Management
Polynomial time approximation schemes for minimum disk cover problems
Journal of Combinatorial Optimization
Autonomous operator management for evolutionary algorithms
Journal of Heuristics
Exact and greedy solutions of the knapsack problem: the ratio of values of objective functions
Journal of Computer and Systems Sciences International
Automation and Remote Control
Deterministic optimizational problems of transportation logistics
Automation and Remote Control
Calendar planning. Constructive optimization. The praxeotechnics industry
Automation and Remote Control
Preemptive scheduling of independent jobs on identical parallel machines subject to migration delays
Automation and Remote Control
SODA '10 Proceedings of the twenty-first annual ACM-SIAM symposium on Discrete Algorithms
Reconstructing approximate phylogenetic trees from quartet samples
SODA '10 Proceedings of the twenty-first annual ACM-SIAM symposium on Discrete Algorithms
On the possibility of faster SAT algorithms
SODA '10 Proceedings of the twenty-first annual ACM-SIAM symposium on Discrete Algorithms
Paired approximation problems and incompatible inapproximabilities
SODA '10 Proceedings of the twenty-first annual ACM-SIAM symposium on Discrete Algorithms
Algorithms and complexity for periodic real-time scheduling
SODA '10 Proceedings of the twenty-first annual ACM-SIAM symposium on Discrete Algorithms
Fast, greedy model minimization for unsupervised tagging
COLING '10 Proceedings of the 23rd International Conference on Computational Linguistics
Multiple genome alignment based on longest path in directed acyclic graphs
International Journal of Bioinformatics Research and Applications
Improved PSOR algorithm for minimum power multicast tree problem in wireless ad hoc networks
International Journal of Sensor Networks
Proceedings of the Conference on Design, Automation and Test in Europe
Robust non-preemptive hard real-time scheduling for clustered multicore platforms
Proceedings of the Conference on Design, Automation and Test in Europe
Sealable compile-time scheduler for multi-core architectures
Proceedings of the Conference on Design, Automation and Test in Europe
Kaboozle is NP-complete, even in a strip
FUN'10 Proceedings of the 5th international conference on Fun with algorithms
Using cell phone keyboards is (NP) hard
FUN'10 Proceedings of the 5th international conference on Fun with algorithms
Cryptographic and physical zero-knowledge proof: from Sudoku to nonogram
FUN'10 Proceedings of the 5th international conference on Fun with algorithms
FUN'10 Proceedings of the 5th international conference on Fun with algorithms
Tradeoffs in process strategy games with application in the WDM reconfiguration problem
FUN'10 Proceedings of the 5th international conference on Fun with algorithms
UNO is hard, even for a single player
FUN'10 Proceedings of the 5th international conference on Fun with algorithms
An algorithmic analysis of the honey-bee game
FUN'10 Proceedings of the 5th international conference on Fun with algorithms
Scheduling with bully selfish jobs
FUN'10 Proceedings of the 5th international conference on Fun with algorithms
Algorithms for three versions of the shortest common superstring problem
CPM'10 Proceedings of the 21st annual conference on Combinatorial pattern matching
Metamodel matching based on planar graph edit distance
ICMT'10 Proceedings of the Third international conference on Theory and practice of model transformations
Autonomous resource-aware scheduling of large-scale media workflows
AIMS'10 Proceedings of the Mechanisms for autonomous management of networks and services, and 4th international conference on Autonomous infrastructure, management and security
On the efficient construction of multislices from recurrences
SSDBM'10 Proceedings of the 22nd international conference on Scientific and statistical database management
PrefIndex: an efficient supergraph containment search technique
SSDBM'10 Proceedings of the 22nd international conference on Scientific and statistical database management
Monadic second order logic on graphs with local cardinality constraints
ACM Transactions on Computational Logic (TOCL)
Bicriteria p-Hub Location Problems and Evolutionary Algorithms
INFORMS Journal on Computing
Assigning applications to servers: a simulation study
SpringSim '10 Proceedings of the 2010 Spring Simulation Multiconference
Dynamic execution planning for reliable collaborative business processes
Information Sciences: an International Journal
A rotary chaotic PSO algorithm for trustworthy scheduling of a grid workflow
Computers and Operations Research
Scratchpad memory allocation for data aggregates via interval coloring in superperfect graphs
ACM Transactions on Embedded Computing Systems (TECS)
Querying graph-based repositories of business process models
DASFAA'10 Proceedings of the 15th international conference on Database systems for advanced applications
Scheduling periodic tasks in a hard real-time environment
ICALP'10 Proceedings of the 37th international colloquium conference on Automata, languages and programming
On the complexity of searching in trees: average-case minimization
ICALP'10 Proceedings of the 37th international colloquium conference on Automata, languages and programming
Placing regenerators in optical networks to satisfy multiple sets of requests
ICALP'10 Proceedings of the 37th international colloquium conference on Automata, languages and programming: Part II
Minimum common string partition revisited
FAW'10 Proceedings of the 4th international conference on Frontiers in algorithmics
Solving general lattice puzzles
FAW'10 Proceedings of the 4th international conference on Frontiers in algorithmics
Fast ISOMAP based on minimum set coverage
ICIC'10 Proceedings of the Advanced intelligent computing theories and applications, and 6th international conference on Intelligent computing
WASA'10 Proceedings of the 5th international conference on Wireless algorithms, systems, and applications
Using light to implement parallel Boolean algebra
DLT'10 Proceedings of the 14th international conference on Developments in language theory
Balanced student partitioning to promote effective learning: applications in an international school
PKAW'10 Proceedings of the 11th international conference on Knowledge management and acquisition for smart systems and services
On minimizing the sum ofensor movements for barrier coverage of a line segment
ADHOC-NOW'10 Proceedings of the 9th international conference on Ad-hoc, mobile and wireless networks
The shortest path problem revisited: optimal routing for electric vehicles
KI'10 Proceedings of the 33rd annual German conference on Advances in artificial intelligence
Algorithmics in intensity-modulated radiation therapy
Algorithms and theory of computation handbook
Algorithms and theory of computation handbook
Algorithms and theory of computation handbook
Algorithms and theory of computation handbook
Advanced combinatorial algorithms
Algorithms and theory of computation handbook
Algorithms and theory of computation handbook
Algorithms and theory of computation handbook
Approximation algorithms for NP-hard optimization problems
Algorithms and theory of computation handbook
Resource allocation for multiple concurrent in-network stream-processing applications
Euro-Par'09 Proceedings of the 2009 international conference on Parallel processing
Automatic generation of optimised working time models in personnel planning
ANTS'10 Proceedings of the 7th international conference on Swarm intelligence
Scheduling parallel eigenvalue computations in a quantum chemistry code
Euro-Par'10 Proceedings of the 16th international Euro-Par conference on Parallel processing: Part II
Analysis of multi-organization scheduling algorithms
Euro-Par'10 Proceedings of the 16th international Euro-Par conference on Parallel processing: Part II
Scheduling real-time mixed-criticality jobs
MFCS'10 Proceedings of the 35th international conference on Mathematical foundations of computer science
The multivariate resultant is NP-hard in any characteristic
MFCS'10 Proceedings of the 35th international conference on Mathematical foundations of computer science
The complexity of inferring a minimally resolved phylogenetic supertree
WABI'10 Proceedings of the 10th international conference on Algorithms in bioinformatics
Satisfiability and containment problem of structural recursions with conditions
ADBIS'10 Proceedings of the 14th east European conference on Advances in databases and information systems
A new hybrid tractable class of soft constraint problems
CP'10 Proceedings of the 16th international conference on Principles and practice of constraint programming
AMT'10 Proceedings of the 6th international conference on Active media technology
Solving the Curfew Planning Problem
Transportation Science
A note on the complexity of abstract categorial grammars
MOL'07/09 Proceedings of the 10th and 11th Biennial conference on The mathematics of language
Entailment multipliers: an algebraic characterization of validity for classical and modal logics
WoLLIC'10 Proceedings of the 17th international conference on Logic, language, information and computation
The curse of connectivity: t-total vertex (edge) cover
COCOON'10 Proceedings of the 16th annual international conference on Computing and combinatorics
Optimal binary space partitions in the plane
COCOON'10 Proceedings of the 16th annual international conference on Computing and combinatorics
Efficient enumeration for conjunctive queries over x-underbar structures
CSL'10/EACSL'10 Proceedings of the 24th international conference/19th annual conference on Computer science logic
Reducing graph matching to tree matching for XML queries with ID references
DEXA'10 Proceedings of the 21st international conference on Database and expert systems applications: Part II
Efficient structure from motion by graph optimization
ECCV'10 Proceedings of the 11th European conference on Computer vision: Part II
Energy minimization under constraints on label counts
ECCV'10 Proceedings of the 11th European conference on Computer vision: Part II
Finding critical nodes for inhibiting diffusion of complex contagions in social networks
ECML PKDD'10 Proceedings of the 2010 European conference on Machine learning and knowledge discovery in databases: Part II
Single-machine scheduling problems with two agents competing for makespan
LSMS/ICSEE'10 Proceedings of the 2010 international conference on Life system modeling and and intelligent computing, and 2010 international conference on Intelligent computing for sustainable energy and environment: Part I
Minimum dominating set approximation in graphs of bounded arboricity
DISC'10 Proceedings of the 24th international conference on Distributed computing
Fast minor testing in planar graphs
ESA'10 Proceedings of the 18th annual European conference on Algorithms: Part I
Caching is hard: even in the fault model
ESA'10 Proceedings of the 18th annual European conference on Algorithms: Part I
Minimum vertex cover in rectangle graphs
ESA'10 Proceedings of the 18th annual European conference on Algorithms: Part I
Approximation schemes for multi-budgeted independence systems
ESA'10 Proceedings of the 18th annual European conference on Algorithms: Part I
Determining edge expansion and other connectivity measures of graphs of bounded genus
ESA'10 Proceedings of the 18th annual European conference on Algorithms: Part I
The complexity of Boolean formula minimization
Journal of Computer and System Sciences
Hardness results for approximating the bandwidth
Journal of Computer and System Sciences
ACO approach with learning for preemptive scheduling of real-time tasks
International Journal of Bio-Inspired Computation
Scheduling large-scale micro/nano biochemical testing: Exact and heuristic algorithms
Computers and Operations Research
A family of enhanced (L,α)-diversity models for privacy preserving data publishing
Future Generation Computer Systems
A SAT-based Method for Solving the Two-dimensional Strip Packing Problem
Fundamenta Informaticae - RCRA 2008 Experimental Evaluation of Algorithms for Solving Problems with Combinatorial Explosion
Multi-function system testing: composition of test sets
HASE'04 Proceedings of the Eighth IEEE international conference on High assurance systems engineering
Enhanced fixed-priority scheduling with (m,k)-firm guarantee
RTSS'10 Proceedings of the 21st IEEE conference on Real-time systems symposium
Real-time digital signal processing of component-oriented phased array radars
RTSS'10 Proceedings of the 21st IEEE conference on Real-time systems symposium
Minimizing total completion time in two-stage hybrid flow shop with dedicated machines
Computers and Operations Research
An effective multilevel tabu search approach for balanced graph partitioning
Computers and Operations Research
The critical independence number and an independence decomposition
European Journal of Combinatorics
An efficient algorithm for constructing a connected dominating set in mobile ad hoc networks
Journal of Parallel and Distributed Computing
Optimizing server placement in distributed systems in the presence of competition
Journal of Parallel and Distributed Computing
Assigning real-time tasks to heterogeneous processors by applying ant colony optimization
Journal of Parallel and Distributed Computing
Failure-aware workflow scheduling in cluster environments
Cluster Computing
Journal of Intelligent Manufacturing
An experimental study for the selection of modules and facilities in a mass customization context
Journal of Intelligent Manufacturing
Developing approaches for solving a telecommunications feature subscription problem
Journal of Artificial Intelligence Research
Logical foundations of RDF(S) with datatypes
Journal of Artificial Intelligence Research
The very particular structure of the very hard instances
AAAI'96 Proceedings of the thirteenth national conference on Artificial intelligence - Volume 1
A complexity analysis of space-bounded learning algorithms for the constraint satisfaction problem
AAAI'96 Proceedings of the thirteenth national conference on Artificial intelligence - Volume 1
Is there any need for domain-dependent control information? a reply
AAAI'96 Proceedings of the thirteenth national conference on Artificial intelligence - Volume 1
Study on GEO metaheuristic for solving multiprocessor scheduling problem
PPAM'09 Proceedings of the 8th international conference on Parallel processing and applied mathematics: Part II
Frustration and collectivity in spatial networks
PPAM'09 Proceedings of the 8th international conference on Parallel processing and applied mathematics: Part II
A novel resource-driven job allocation scheme for desktop grid environments
TGC'10 Proceedings of the 5th international conference on Trustworthly global computing
DAG scheduling on heterogeneous distributed systems using learning automata
ACIIDS'10 Proceedings of the Second international conference on Intelligent information and database systems: Part II
Geometric scheduling of 2-D UET-UCT uniform dependence loops
EUROMICRO-PDP'02 Proceedings of the 10th Euromicro conference on Parallel, distributed and network-based processing
An optimal VP-based multicast routing in ATM networks
INFOCOM'96 Proceedings of the Fifteenth annual joint conference of the IEEE computer and communications societies conference on The conference on computer communications - Volume 3
Reliable concurrent multicast from bursty sources
INFOCOM'96 Proceedings of the Fifteenth annual joint conference of the IEEE computer and communications societies conference on The conference on computer communications - Volume 3
An algorithm for multicast tree generation in networks with asymmetric links
INFOCOM'96 Proceedings of the Fifteenth annual joint conference of the IEEE computer and communications societies conference on The conference on computer communications - Volume 1
Multicast routing with end-to-end delay and delay variation constraints
INFOCOM'96 Proceedings of the Fifteenth annual joint conference of the IEEE computer and communications societies conference on The conference on computer communications - Volume 1
A hybrid fault simulator for synchronous sequential circuits
ITC'94 Proceedings of the 1994 international conference on Test
Configuring flip-flops to BIST registers
ITC'94 Proceedings of the 1994 international conference on Test
On synthesizing circuits with implicit test ability constraints
ITC'94 Proceedings of the 1994 international conference on Test
Multiple distributions for biased random test patterns
ITC'88 Proceedings of the 1988 international conference on Test: new frontiers in testing
Test scheduling for high performance VLSI system implementations
ITC'88 Proceedings of the 1988 international conference on Test: new frontiers in testing
Approximation of canonical sets and their applications to 2D view simplification
CVPR'04 Proceedings of the 2004 IEEE computer society conference on Computer vision and pattern recognition
Secure clustering in DSN with key pre-distribution and WCDS
MILCOM'06 Proceedings of the 2006 IEEE conference on Military communications
Cross-layer optimization for UWB-based ad hoc networks
MILCOM'06 Proceedings of the 2006 IEEE conference on Military communications
Specification of network services and mapping algorithms
MILCOM'06 Proceedings of the 2006 IEEE conference on Military communications
Pipelining-based tradeoffs for hardware/software codesign of multimedia systems
EURO-PDP'00 Proceedings of the 8th Euromicro conference on Parallel and distributed processing
Top-k query evaluation in sensor networks under query response time constraint
Information Sciences: an International Journal
Connecting face hitting sets in planar graphs
Information Processing Letters
Exact exponential-time algorithms for finding bicliques
Information Processing Letters
The travelling salesman problem for finite-sized cities†
Mathematical Structures in Computer Science
Supporting Collaborative Learning and E-Discussions Using Artificial Intelligence Techniques
International Journal of Artificial Intelligence in Education
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Distributed coloring in Õ (√log n) Bit Rounds
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Battery-aware router scheduling in wireless mesh networks
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Parallelizing post-placement timing optimization
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
The impact of heterogeneity on master-slave on-line scheduling
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
A task duplication based bottom-up scheduling algorithm for heterogeneous environments
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
On the load distribution and performance of meta-computing systems
ISPDC'03 Proceedings of the Second international conference on Parallel and distributed computing
An efficient strategy for storing and searching binary trees in WORM external memory
Journal of Information Science
Constrained global scheduling of streaming applications on MPSoCs
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
Fault-tolerant resynthesis with dual-output LUTs
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
Computational Optimization and Applications
On the 2-Dimensional Channel Assignment Problem
IEEE Transactions on Computers
On the Complexity of Estimating the Size of a Test Set
IEEE Transactions on Computers
Querying Graphs in Protein-Protein Interactions Networks Using Feedback Vertex Set
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Quartets MaxCut: A Divide and Conquer Quartets Algorithm
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Journal of Parallel and Distributed Computing
Journal of Systems Architecture: the EUROMICRO Journal
Intractability of min- and max-cut in streaming graphs
Information Processing Letters
Maximum gap labelings of graphs
Information Processing Letters
PolicyReplay: misconfiguration-response queries for data breach reporting
Proceedings of the VLDB Endowment
Towards certain fixes with editing rules and master data
Proceedings of the VLDB Endowment
Graph homomorphism revisited for graph matching
Proceedings of the VLDB Endowment
Space efficiency in group recommendation
The VLDB Journal — The International Journal on Very Large Data Bases
A genetic programming hyper-heuristic approach for evolving 2-D strip packing heuristics
IEEE Transactions on Evolutionary Computation
IEEE Transactions on Signal Processing
Dealing with complex queries in decision-support systems
Data & Knowledge Engineering
Complexity of the traveling tournament problem
Theoretical Computer Science
On the approximability of robust spanning tree problems
Theoretical Computer Science
Cop-robber guarding game with cycle robber-region
Theoretical Computer Science
Shortest path and maximum flow problems in networks with additive losses and gains
Theoretical Computer Science
Note: Hamiltonian index is NP-complete
Discrete Applied Mathematics
Note: A note on the complexity of flow-shop scheduling with deteriorating jobs
Discrete Applied Mathematics
Dynamic algorithms for autonomic pervasive services in mobile wireless environments
International Journal of Autonomic Computing
Duality Gap Estimation of Linear Equality Constrained Binary Quadratic Programming
Mathematics of Operations Research
An Exact Algorithm for the Two-Dimensional Strip-Packing Problem
Operations Research
Minimum cost service composition in service overlay networks
World Wide Web
Journal of Computer and System Sciences
Information and Computation
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
Complexity of pattern-based verification for multithreaded programs
Proceedings of the 38th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Hybridized optimization genetic algorithm for QOS-based multicast routing problem
ISICA'10 Proceedings of the 5th international conference on Advances in computation and intelligence
Low memory distributed protocols for 2-coloring
SSS'10 Proceedings of the 12th international conference on Stabilization, safety, and security of distributed systems
New hybrid matheuristics for solving the multidimensional knapsack problem
HM'10 Proceedings of the 7th international conference on Hybrid metaheuristics
Integer linear programming models for constrained clustering
DS'10 Proceedings of the 13th international conference on Discovery science
Graph classification based on optimizing graph spectra
DS'10 Proceedings of the 13th international conference on Discovery science
Resource provisioning in SLA-based cluster computing
JSSPP'10 Proceedings of the 15th international conference on Job scheduling strategies for parallel processing
A moldable online scheduling algorithm and its application to parallel short sequence mapping
JSSPP'10 Proceedings of the 15th international conference on Job scheduling strategies for parallel processing
The zero exemplar distance problem
RECOMB-CG'10 Proceedings of the 2010 international conference on Comparative genomics
Scaffold filling under the breakpoint distance
RECOMB-CG'10 Proceedings of the 2010 international conference on Comparative genomics
On shortest common superstring and swap permutations
SPIRE'10 Proceedings of the 17th international conference on String processing and information retrieval
An energy-efficient MAC protocol in wireless sensor networks: a game theoretic approach
EURASIP Journal on Wireless Communications and Networking - Special issue on theoretical and algorithmic foundations of wireless ad hoc and sensor networks
Complexity of safe strategic voting
SAGT'10 Proceedings of the Third international conference on Algorithmic game theory
LALO: a link-aware lightweight routing protocol for data delivery in vehicular ad hoc networks
UIC'10 Proceedings of the 7th international conference on Ubiquitous intelligence and computing
Querying XML data sources that export very large sets of views
ACM Transactions on Database Systems (TODS)
Theoretical Computer Science
Dominating set based exact algorithms for 3-coloring
Information Processing Letters
Efficiency of Wireless Networks: Approximation Algorithms for the Physical Interference Model
Foundations and Trends® in Networking
Information Processing Letters
Relay placement for fault tolerance in wireless networks in higher dimensions
Computational Geometry: Theory and Applications
Scheduling aircraft landings to balance workload of ground staff
Computers and Industrial Engineering
Extended k-anonymity models against sensitive attribute disclosure
Computer Communications
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Simultaneous Identification of Duplications and Lateral Gene Transfers
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
An Approximation Algorithm for the Noah's Ark Problem with Random Feature Loss
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Computers and Operations Research
Constraint-based methods for scheduling discretionary services
AI Communications
Long-term fairness with bounded worst-case losses
Autonomous Agents and Multi-Agent Systems
A study of heuristic guesses for adiabatic quantum computation
Quantum Information Processing
Automation and Remote Control
Efficient algorithms for supergraph query processing on graph databases
Journal of Combinatorial Optimization
IEEE Transactions on Communications
Knowledge acquisition in fuzzy-rule-based systems with particle-swarm optimization
IEEE Transactions on Fuzzy Systems
Multirobot forest coverage for weighted and unweighted terrain
IEEE Transactions on Robotics
Complexity results for the spanning tree congestion problem
WG'10 Proceedings of the 36th international conference on Graph-theoretic concepts in computer science
The longest path problem is polynomial on cocomparability graphs
WG'10 Proceedings of the 36th international conference on Graph-theoretic concepts in computer science
Colorings with few colors: counting, enumeration and combinatorial bounds
WG'10 Proceedings of the 36th international conference on Graph-theoretic concepts in computer science
Narrowing down the gap on the complexity of coloring Pk-free graphs
WG'10 Proceedings of the 36th international conference on Graph-theoretic concepts in computer science
Station point optimization of total station in shield tunneling method based on set-covering
ICIRA'10 Proceedings of the Third international conference on Intelligent robotics and applications - Volume Part I
Experimental study on approximation algorithms for guarding sets of line segments
ISVC'10 Proceedings of the 6th international conference on Advances in visual computing - Volume Part I
Optimal pricing in the presence of local network effects
WINE'10 Proceedings of the 6th international conference on Internet and network economics
Evacuation of rectilinear polygons
COCOA'10 Proceedings of the 4th international conference on Combinatorial optimization and applications - Volume Part I
XML reconstruction view selection in XML databases: complexity analysis and approximation scheme
COCOA'10 Proceedings of the 4th international conference on Combinatorial optimization and applications - Volume Part II
Computational study for planar connected dominating set problem
COCOA'10 Proceedings of the 4th international conference on Combinatorial optimization and applications - Volume Part II
A new result on [k, k + 1]-factors containing given hamiltonian cycles
COCOA'10 Proceedings of the 4th international conference on Combinatorial optimization and applications - Volume Part II
Diameter-constrained steiner tree
COCOA'10 Proceedings of the 4th international conference on Combinatorial optimization and applications - Volume Part II
Minimizing the maximum duty for connectivity in multi-interface networks
COCOA'10 Proceedings of the 4th international conference on Combinatorial optimization and applications - Volume Part II
Exact and parameterized algorithms for edge dominating set in 3-degree graphs
COCOA'10 Proceedings of the 4th international conference on Combinatorial optimization and applications - Volume Part II
Proceedings of the 2010 conference on STAIRS 2010: Proceedings of the Fifth Starting AI Researchers' Symposium
On the complexity of reconfiguration problems
Theoretical Computer Science
Descriptional and computational complexity of finite automata---A survey
Information and Computation
Combinatorics on update digraphs in Boolean networks
Discrete Applied Mathematics
Exploring the runtime of an evolutionary algorithm for the multi-objective shortest path problem**
Evolutionary Computation
A web spatial decision support system for vehicle routing using Google Maps
Decision Support Systems
Optimization of stowage plans for RoRo ships
Computers and Operations Research
Controlled Perturbation of sets of line segments in R2 with smart processing order
Computational Geometry: Theory and Applications
Reasoning with coincidence grids-A sequent-based logic and an analysis of complexity
Journal of Visual Languages and Computing
Optimized network management for energy savings of wireless access networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Guarding a set of line segments in the plane
Theoretical Computer Science
A Complexity Dichotomy for Finding Disjoint Solutions of Vertex Deletion Problems
ACM Transactions on Computation Theory (TOCT)
RAR: A role-and-risk based flexible framework for secure collaboration
Future Generation Computer Systems
Test data compression using efficient bitmask and dictionary selection methods
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Tabu search with consistent neighbourhood for strip packing
IEA/AIE'10 Proceedings of the 23rd international conference on Industrial engineering and other applications of applied intelligent systems - Volume Part I
Power-aware multi-objective evolutionary optimization for application mapping on NoC platforms
IEA/AIE'10 Proceedings of the 23rd international conference on Industrial engineering and other applications of applied intelligent systems - Volume Part II
Multicriteria assignment problem (selection of access points)
IEA/AIE'10 Proceedings of the 23rd international conference on Industrial engineering and other applications of applied intelligent systems - Volume Part II
P systems with elementary active membranes: beyond NP and coNP
CMC'10 Proceedings of the 11th international conference on Membrane computing
Spiking neural P systems with neuron division
CMC'10 Proceedings of the 11th international conference on Membrane computing
Deterministic dominating set construction in networks with bounded degree
ICDCN'11 Proceedings of the 12th international conference on Distributed computing and networking
New lower bounds for certain classes of bin packing algorithms
WAOA'10 Proceedings of the 8th international conference on Approximation and online algorithms
Lower bounds for smith's rule in stochastic machine scheduling
WAOA'10 Proceedings of the 8th international conference on Approximation and online algorithms
GreedyMAX-type algorithms for the maximum independent set problem
SOFSEM'11 Proceedings of the 37th international conference on Current trends in theory and practice of computer science
Min-max coverage in multi-interface networks
SOFSEM'11 Proceedings of the 37th international conference on Current trends in theory and practice of computer science
Bandwidth constrained multi-interface networks
SOFSEM'11 Proceedings of the 37th international conference on Current trends in theory and practice of computer science
Upward point-set embeddability
SOFSEM'11 Proceedings of the 37th international conference on Current trends in theory and practice of computer science
Partition into triangles on bounded degree graphs
SOFSEM'11 Proceedings of the 37th international conference on Current trends in theory and practice of computer science
An effective algorithm for and phase transitions of the directed hamiltonian cycle problem
Journal of Artificial Intelligence Research
Scheduling periodic tasks on uniform multiprocessors
Euromicro-RTS'00 Proceedings of the 12th Euromicro conference on Real-time systems
Worst-case utilization bound for EDF scheduling on real-time multiprocessor systems
Euromicro-RTS'00 Proceedings of the 12th Euromicro conference on Real-time systems
Improvement of bounded-diameter MST instances with hybridization of multi-objective EA
Proceedings of the 2011 International Conference on Communication, Computing & Security
Influence clubs in social networks
ICCCI'10 Proceedings of the Second international conference on Computational collective intelligence: technologies and applications - Volume Part II
Solving applications by use of genetic algorithms
MMACTEE'09 Proceedings of the 11th WSEAS international conference on Mathematical methods and computational techniques in electrical engineering
Algebraic cryptanalysis of curry and flurry using correlated messages
Inscrypt'09 Proceedings of the 5th international conference on Information security and cryptology
Complexity, parsing, and factorization of tree-local multi-component tree-adjoining grammar
Computational Linguistics
Graph classes and the complexity of the graph orientation minimizing the maximum weighted outdegree
Discrete Applied Mathematics
On distance-3 matchings and induced matchings
Discrete Applied Mathematics
Concept-based learning of human behavior for customer relationship management
Information Sciences: an International Journal
Introducing multipath selection for concurrent multipath transfer in the future internet
Computer Networks: The International Journal of Computer and Telecommunications Networking
Virtual network provisioning across multiple substrate networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
SLA-tree: a framework for efficiently supporting SLA-based decisions in cloud computing
Proceedings of the 14th International Conference on Extending Database Technology
Decorous Lower Bounds for Minimum Linear Arrangement
INFORMS Journal on Computing
INFORMS Journal on Computing
False data injection attacks against state estimation in electric power grids
ACM Transactions on Information and System Security (TISSEC)
Learning Multi-modal Similarity
The Journal of Machine Learning Research
An O*(3.533k)-time parameterized algorithm for the 3-set packing problem
Theoretical Computer Science
Submodularity of Influence in Social Networks: From Local to Global
SIAM Journal on Computing
Small-Size $\eps$-Nets for Axis-Parallel Rectangles and Boxes
SIAM Journal on Computing
Reductions of Young Tableau Bijections
SIAM Journal on Discrete Mathematics
SIAM Journal on Discrete Mathematics
SIAM Journal on Discrete Mathematics
The Alcuin Number of a Graph and Its Connections to the Vertex Cover Number
SIAM Journal on Discrete Mathematics
On the Complexity of Nonnegative Matrix Factorization
SIAM Journal on Optimization
On the Complexity of Selecting Disjunctions in Integer Programming
SIAM Journal on Optimization
IEEE/ACM Transactions on Networking (TON)
How reliable can two-path protection be?
IEEE/ACM Transactions on Networking (TON)
Ethernet topology discovery for networks with incomplete information
IEEE/ACM Transactions on Networking (TON)
Constructing maximum-lifetime data gathering forests in sensor networks
IEEE/ACM Transactions on Networking (TON)
Algorithms and models for backup reprovisioning in WDM networks
IEEE/ACM Transactions on Networking (TON)
Upper and lower bounds for finding connected motifs in vertex-colored graphs
Journal of Computer and System Sciences
A direct barter model for course add/drop process
Discrete Applied Mathematics
Embedding processes in combinatorial game theory
Discrete Applied Mathematics
On a labeling problem in graphs
Discrete Applied Mathematics
RegularRoute: an efficient detailed router with regular routing patterns
Proceedings of the 2011 international symposium on Physical design
Mosaic-Net: a game theoretical method for selection and allocation of replicas in ad hoc networks
The Journal of Supercomputing
Deploying a massively multiplayer online game with a low-latency server infrastructure
Information Technology and Management
On 2-stage robust LP with RHS uncertainty: complexity results and applications
Journal of Global Optimization
Knapsack problem with probability constraints
Journal of Global Optimization
A Distributed Message-passing Approach for Clustering Cognitive Radio Networks
Wireless Personal Communications: An International Journal
A posteriori detecting a quasiperiodic fragment in a numerical sequence
Pattern Recognition and Image Analysis
Greedy algorithms for the minimization knapsack problem: Average behavior
Journal of Computer and Systems Sciences International
Algorithms for induced biclique optimization problems
Information Processing Letters
A simple OPT+1 algorithm for cutting stock under the modified integer round-up property assumption
Information Processing Letters
Energy-aware wireless systems with adaptive power-fidelity tradeoffs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Overlay techniques for scratchpad memories in low power embedded processors
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Probabilistic delay budget assignment for synthesis of soft real-time applications
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
POMR: a power-aware interconnect optimization methodology
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
On structured digraphs and program testing
IEEE Transactions on Computers
New complexity results for the k-covers problem
Information Sciences: an International Journal
Reordering columns for smaller indexes
Information Sciences: an International Journal
Cryptanalysis of multivariate and odd-characteristic HFE variants
PKC'11 Proceedings of the 14th international conference on Practice and theory in public key cryptography conference on Public key cryptography
PKC'11 Proceedings of the 14th international conference on Practice and theory in public key cryptography conference on Public key cryptography
Metropolitan fiber optical network planning model based on self organizing neural networks
ACACOS'11 Proceedings of the 10th WSEAS international conference on Applied computer and applied computational science
A relational approach to functional decomposition of logic circuits
ACM Transactions on Database Systems (TODS)
Self-organized combinatorial optimization
Expert Systems with Applications: An International Journal
Cooperating local search for the maximum clique problem
Journal of Heuristics
Optimizing data collection path in sensor networks with mobile elements
International Journal of Automation and Computing
Machining precedence of 2½D interacting features in a feature-based data model
Journal of Intelligent Manufacturing
A GA-based approach for optimizing single-part flow-line configurations of RMS
Journal of Intelligent Manufacturing
Minimizing total weighted flowtime subject to minimum makespan on two identical parallel machines
Journal of Intelligent Manufacturing
Boosting learning and inference in Markov logic through metaheuristics
Applied Intelligence
Solving the generalized Subset Sum problem with a light based device
Natural Computing: an international journal
Computing transparently: the independent sets in a graph
Natural Computing: an international journal
NP-completeness of the energy barrier problem without pseudoknots and temporary arcs
Natural Computing: an international journal
P systems with active membranes: trading time for space
Natural Computing: an international journal
Joint Subchannel, Rate and Power Allocation in OFDMA-Based Cognitive Wireless Mesh Network
Wireless Personal Communications: An International Journal
Improving constrained pattern mining with first-fail-based heuristics
Data Mining and Knowledge Discovery
Market equilibrium under separable, piecewise-linear, concave utilities
Journal of the ACM (JACM)
Towards modular development of typed unification grammars
Computational Linguistics
A Branch and Cut solver for the maximum stable set problem
Journal of Combinatorial Optimization
Constrained minimum lightpath affinity routing in multi-layer optical transport networks
Journal of High Speed Networks
EURASIP Journal on Wireless Communications and Networking
A file protection system based on a trapdoor one-way hash function
ACOS'06 Proceedings of the 5th WSEAS international conference on Applied computer science
Scheduling forwarding nodes for two-hop neighborhoods broadcast in wireless ad hoc networks
ACOS'06 Proceedings of the 5th WSEAS international conference on Applied computer science
Max-coloring and online coloring with bandwidths on interval graphs
ACM Transactions on Algorithms (TALG)
A survey of hard real-time scheduling for multiprocessor systems
ACM Computing Surveys (CSUR)
Sublinear graph approximation algorithms
Property testing
Cache sensitive code arrangement for virtual machine
Transactions on high-performance embedded architectures and compilers III
Three heuristics to solve Timetabling
ICCOM'06 Proceedings of the 10th WSEAS international conference on Communications
A logic-oriented wafer fab lot scheduling knowledge-based system
ICCOMP'06 Proceedings of the 10th WSEAS international conference on Computers
Energy efficient multicasting problem in wireless ad-hoc networks
ICCOMP'06 Proceedings of the 10th WSEAS international conference on Computers
On multi-stack boundary labeling problems
ICCOMP'06 Proceedings of the 10th WSEAS international conference on Computers
Memetic algorithm with strategic controller for the maximum clique problem
Proceedings of the 2011 ACM Symposium on Applied Computing
Improved call graph comparison using simulated annealing
Proceedings of the 2011 ACM Symposium on Applied Computing
Characterization of graphs and digraphs with small process numbers
Discrete Applied Mathematics
Particle swarm optimization for the continuous p-median problem
CIMMACS'07 Proceedings of the 6th WSEAS international conference on Computational intelligence, man-machine systems and cybernetics
Graph k-colorability through threshold accepting and Davis-Putnam
CIMMACS'05 Proceedings of the 4th WSEAS international conference on Computational intelligence, man-machine systems and cybernetics
A Freight Service Design Problem for a Railway Corridor
Transportation Science
Scheduling Freight Trains in Rail-Rail Transshipment Yards
Transportation Science
Models and algorithms for computing the common labelling of a set of attributed graphs
Computer Vision and Image Understanding
Structure and attribute index for approximate graph matching in large graphs
Information Systems
Sublinear graph approximation algorithms
Property testing
The complexity status of problems related to sparsest cuts
IWOCA'10 Proceedings of the 21st international conference on Combinatorial algorithms
On approximation complexity of metric dimension problem
IWOCA'10 Proceedings of the 21st international conference on Combinatorial algorithms
Computing role assignments of proper interval graphs in polynomial time
IWOCA'10 Proceedings of the 21st international conference on Combinatorial algorithms
Reaching coverage closure in post-silicon validation
HVC'10 Proceedings of the 6th international conference on Hardware and software: verification and testing
Linear absolute value relation analysis
ESOP'11/ETAPS'11 Proceedings of the 20th European conference on Programming languages and systems: part of the joint European conferences on theory and practice of software
3-Hitting set on bounded degree hypergraphs: upper and lower bounds on the kernel size
TAPAS'11 Proceedings of the First international ICST conference on Theory and practice of algorithms in (computer) systems
multi-channel assignment for communication in radio networks
TAPAS'11 Proceedings of the First international ICST conference on Theory and practice of algorithms in (computer) systems
On lifecycle constraints of artifact-centric workflows
WS-FM'10 Proceedings of the 7th international conference on Web services and formal methods
Characterizing problems for realizing policies in self-adaptive and self-managing systems
Proceedings of the 6th International Symposium on Software Engineering for Adaptive and Self-Managing Systems
Video distribution under multiple constraints
Theoretical Computer Science
On the complexity of interval scheduling with a resource constraint
Theoretical Computer Science
Determining the currency of data
Proceedings of the thirtieth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Querying semantic web data with SPARQL
Proceedings of the thirtieth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Location-aware type ahead search on spatial databases: semantics and efficiency
Proceedings of the 2011 ACM SIGMOD International Conference on Management of data
Incremental graph pattern matching
Proceedings of the 2011 ACM SIGMOD International Conference on Management of data
On a local protocol for concurrent file transfers
Proceedings of the twenty-third annual ACM symposium on Parallelism in algorithms and architectures
Computing a Smallest Multilabeled Phylogenetic Tree from Rooted Triplets
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Two-Step Cross-Entropy Feature Selection for Microarrays—Power Through Complementarity
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Asymmetric Comparison and Querying of Biological Networks
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
MCRT: Multichannel Real-Time Communications in Wireless Sensor Networks
ACM Transactions on Sensor Networks (TOSN)
High performance and area efficient flexible DSP datapath synthesis
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
FA-STAC: An algorithmic framework for fast and accurate coupling aware static timing analysis
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
An optimization approach to the synthesis of multichip architectures
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Rate-optimal DSP synthesis by pipeline and minimum unfolding
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Hardware supported task scheduling on dynamically reconfigurable SoC architectures
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A revealed preference approach to computational complexity in economics
Proceedings of the 12th ACM conference on Electronic commerce
Analyzing consistency properties for fun and profit
Proceedings of the 30th annual ACM SIGACT-SIGOPS symposium on Principles of distributed computing
Information Sciences: an International Journal
Modeling and analyzing social network dynamics using stochastic discrete graphical dynamical systems
Theoretical Computer Science
Energy reduction for scheduling a set of multiple feasible interval jobs
Journal of Systems Architecture: the EUROMICRO Journal
Platform synthesis and partitioning of real-time tasks for energy efficiency
Journal of Systems Architecture: the EUROMICRO Journal
Hybrid tractability of valued constraint problems
Artificial Intelligence
Local search with edge weighting and configuration checking heuristics for minimum vertex cover
Artificial Intelligence
Algorithms and complexity results for persuasive argumentation
Artificial Intelligence
Parameterized complexity of even/odd subgraph problems
Journal of Discrete Algorithms
Computational Geometry: Theory and Applications
Note: The Northwest corner rule revisited
Discrete Applied Mathematics
Tree-decomposition based heuristics for the two-dimensional bin packing problem with conflicts
Computers and Operations Research
Object categorization using bone graphs
Computer Vision and Image Understanding
Computers and Operations Research
Scheduling jobs on identical machines with agreement graph
Computers and Operations Research
Scheduling on parallel machines with preemption and transportation delays
Computers and Operations Research
Lower tolerance-based Branch and Bound algorithms for the ATSP
Computers and Operations Research
Coloring large graphs based on independent set extraction
Computers and Operations Research
A GRASP algorithm for the Closest String Problem using a probability-based heuristic
Computers and Operations Research
Generic topology mapping strategies for large-scale parallel architectures
Proceedings of the international conference on Supercomputing
Integrated data placement and task assignment for scientific workflows in clouds
Proceedings of the fourth international workshop on Data-intensive distributed computing
Incremental placement of interactive perception applications
Proceedings of the 20th international symposium on High performance distributed computing
Minimum power multicasting in wireless networks under probabilistic node failures
Computational Optimization and Applications
Geography- and infrastructure-aware topology design methodology for broadband access networks (FTTx)
Photonic Network Communications
The variants of the harmony search algorithm: an overview
Artificial Intelligence Review
Local search heuristics for the multidimensional assignment problem
Journal of Heuristics
A composite algorithm for multiprocessor scheduling
Journal of Heuristics
Isomorphism Testing via Polynomial-Time Graph Extensions
Journal of Mathematical Modelling and Algorithms
On the one-dimensional stock cutting problem in the paper tube industry
Journal of Scheduling
A job-shop problem with one additional resource type
Journal of Scheduling
Journal of Combinatorial Optimization
Approximation algorithms for the graph orientation minimizing the maximum weighted outdegree
Journal of Combinatorial Optimization
Fault oblivious high performance computing with dynamic task replication and substitution
Computer Science - Research and Development
The least spanning area of a knot and the optimal bounding chain problem
Proceedings of the twenty-seventh annual symposium on Computational geometry
Survey of polynomial transformations between NP-complete problems
Journal of Computational and Applied Mathematics
Odessa: enabling interactive perception applications on mobile devices
MobiSys '11 Proceedings of the 9th international conference on Mobile systems, applications, and services
International Journal of Biometrics
Hamiltonian Cycles, Random Walks, and Discounted Occupational Measures
Mathematics of Operations Research
Three-coloring triangle-free planar graphs in linear time
ACM Transactions on Algorithms (TALG)
Partial convex recolorings of trees and galled networks: Tight upper and lower bounds
ACM Transactions on Algorithms (TALG)
Differential dependencies: Reasoning and discovery
ACM Transactions on Database Systems (TODS)
A genetic algorithm to enhance transmembrane helices prediction
Proceedings of the 13th annual conference on Genetic and evolutionary computation
Exact computation of the expectation curves of the bit-flip mutation using landscapes theory
Proceedings of the 13th annual conference on Genetic and evolutionary computation
Load balancing for sustainable ICT
Proceedings of the 13th annual conference companion on Genetic and evolutionary computation
A framework for analyzing sub-optimal performance of local search algorithms
Computational Optimization and Applications
Cybernetics and Systems Analysis
Tree search and quantum computation
Quantum Information Processing
Study on discrete adiabatic quantum computation in 3-SAT problems
Artificial Life and Robotics
Minimizing the number of late jobs on a single machine under due date uncertainty
Journal of Scheduling
A set partitioning reformulation of a school bus scheduling problem
Journal of Scheduling
Charlemagne's Challenge: The Periodic Latency Problem
Operations Research
An improved algorithm for the longest common subsequence problem
Computers and Operations Research
Shift-and-merge technique for the DP solution of the time-constrained backpacker problem
Computers and Operations Research
The dynamic space allocation problem: Applying hybrid GRASP and Tabu search metaheuristics
Computers and Operations Research
Heuristic approaches for master planning in semiconductor manufacturing
Computers and Operations Research
Two-stage hybrid flow shop with precedence constraints and parallel machines at second stage
Computers and Operations Research
Evolutionary multiobjective route planning in dynamic multi-hop ridesharing
EvoCOP'11 Proceedings of the 11th European conference on Evolutionary computation in combinatorial optimization
Local search for mixed-integer nonlinear optimization: a methodology and an application
EvoCOP'11 Proceedings of the 11th European conference on Evolutionary computation in combinatorial optimization
On complexity of the optimal recombination for the travelling salesman problem
EvoCOP'11 Proceedings of the 11th European conference on Evolutionary computation in combinatorial optimization
Integrated generation of working time models and staff schedules in workforce management
EvoApplications'11 Proceedings of the 2011 international conference on Applications of evolutionary computation - Volume Part II
Enumerating minimal hypotheses and dualizing monotone boolean functions on lattices
ICFCA'11 Proceedings of the 9th international conference on Formal concept analysis
A branch-cut-and-price algorithm for the capacitated arc routing problem
SEA'11 Proceedings of the 10th international conference on Experimental algorithms
Improved generic algorithms for hard knapsacks
EUROCRYPT'11 Proceedings of the 30th Annual international conference on Theory and applications of cryptographic techniques: advances in cryptology
Complexity and approximability issues in combinatorial image analysis
IWCIA'11 Proceedings of the 14th international conference on Combinatorial image analysis
Approximating edge dominating set in dense graphs
TAMC'11 Proceedings of the 8th annual conference on Theory and applications of models of computation
Approximability of the subset sum reconfiguration problem
TAMC'11 Proceedings of the 8th annual conference on Theory and applications of models of computation
An improved kernel for planar connected dominating set
TAMC'11 Proceedings of the 8th annual conference on Theory and applications of models of computation
How to cut a graph into many pieces
TAMC'11 Proceedings of the 8th annual conference on Theory and applications of models of computation
Hardness results and an exact exponential algorithm for the spanning tree congestion problem
TAMC'11 Proceedings of the 8th annual conference on Theory and applications of models of computation
Switching to hedgehog-free graphs is NP-complete
TAMC'11 Proceedings of the 8th annual conference on Theory and applications of models of computation
Edge contractions in subclasses of chordal graphs
TAMC'11 Proceedings of the 8th annual conference on Theory and applications of models of computation
A novel group signature scheme based on MPKC
ISPEC'11 Proceedings of the 7th international conference on Information security practice and experience
Homogeneous faults, colored edge graphs, and cover free families
ICITS'11 Proceedings of the 5th international conference on Information theoretic security
The maximum clique enumeration problem: algorithms, applications and implementations
ISBRA'11 Proceedings of the 7th international conference on Bioinformatics research and applications
Mining biological interaction networks using weighted quasi-bicliques
ISBRA'11 Proceedings of the 7th international conference on Bioinformatics research and applications
ISBRA'11 Proceedings of the 7th international conference on Bioinformatics research and applications
Sentic medoids: organizing affective common sense knowledge in a multi-dimensional vector space
ISNN'11 Proceedings of the 8th international conference on Advances in neural networks - Volume Part III
Upgrading shortest paths in networks
CPAIOR'11 Proceedings of the 8th international conference on Integration of AI and OR techniques in constraint programming for combinatorial optimization problems
Branch-cut-and-propagate for the maximum k-colorable subgraph problem with symmetry
CPAIOR'11 Proceedings of the 8th international conference on Integration of AI and OR techniques in constraint programming for combinatorial optimization problems
On the complexity of core, kernel, and bargaining set
Artificial Intelligence
Polynomial area bounds for MST embeddings of trees
Computational Geometry: Theory and Applications
Network performance model for location area re-planning in GERAN
Computer Networks: The International Journal of Computer and Telecommunications Networking
Future Generation Computer Systems
A stochastic scheduling algorithm for precedence constrained tasks on Grid
Future Generation Computer Systems
Cluster-based application mapping method for Network-on-Chip
Advances in Engineering Software
Kernel bounds for disjoint cycles and disjoint paths
Theoretical Computer Science
Finding and enumerating Hamilton cycles in 4-regular graphs
Theoretical Computer Science
Digraph decompositions and monotonicity in digraph searching
Theoretical Computer Science
Approximating some network design problems with node costs
Theoretical Computer Science
Tight complexity analysis of the relocation problem with arbitrary release dates
Theoretical Computer Science
Hardness of subgraph and supergraph problems in c-tournaments
Theoretical Computer Science
Wirelength of 1-fault hamiltonian graphs into wheels and fans
Information Processing Letters
Strong NP-hardness of the quantum separability problem
Quantum Information & Computation
Quantum Information & Computation
Two slightly-entangled NP-complete problems
Quantum Information & Computation
Computational complexity of the quantum separability problem
Quantum Information & Computation
Quantum Information & Computation
Complexity and design of QoS routing algorithms in wireless mesh networks
Computer Communications
Review: From wireless sensor networks towards cyber physical systems
Pervasive and Mobile Computing
Nash-solvable two-person symmetric cycle game forms
Discrete Applied Mathematics
Multimode control attacks on elections
Journal of Artificial Intelligence Research
Measuring 4-local qubit observables could probabilistically solve PSPACE
Quantum Information & Computation
Stability analysis of multi-hop routing in sensor networks with mobile sinks
ICDCN'10 Proceedings of the 11th international conference on Distributed computing and networking
Optimizing distributed computing workflows in heterogeneous network environments
ICDCN'10 Proceedings of the 11th international conference on Distributed computing and networking
Set covering with ordered replacement: additive and multiplicative gaps
IPCO'11 Proceedings of the 15th international conference on Integer programming and combinatoral optimization
Filling scaffolds with gene repetitions: maximizing the number of adjacencies
CPM'11 Proceedings of the 22nd annual conference on Combinatorial pattern matching
Polynomial-time approximation algorithms for weighted LCS problem
CPM'11 Proceedings of the 22nd annual conference on Combinatorial pattern matching
Restricted common superstring and restricted common supersequence
CPM'11 Proceedings of the 22nd annual conference on Combinatorial pattern matching
Minimal Equivalent Binary Knapsack Inequalities
INFORMS Journal on Computing
Information, Divergence and Risk for Binary Experiments
The Journal of Machine Learning Research
The Journal of Machine Learning Research
A probabilistic framework to obtain a common labelling between attributed graphs
IbPRIA'11 Proceedings of the 5th Iberian conference on Pattern recognition and image analysis
HAIS'11 Proceedings of the 6th international conference on Hybrid artificial intelligent systems - Volume Part II
Approximation scheme for scheduling resumable proportionally deteriorating jobs
FAW-AAIM'11 Proceedings of the 5th joint international frontiers in algorithmics, and 7th international conference on Algorithmic aspects in information and management
Parameterized edge dominating set in cubic graphs
FAW-AAIM'11 Proceedings of the 5th joint international frontiers in algorithmics, and 7th international conference on Algorithmic aspects in information and management
Complexity of total {k}-domination and related problems
FAW-AAIM'11 Proceedings of the 5th joint international frontiers in algorithmics, and 7th international conference on Algorithmic aspects in information and management
Online algorithm for 1-space bounded multi-dimensional bin packing
FAW-AAIM'11 Proceedings of the 5th joint international frontiers in algorithmics, and 7th international conference on Algorithmic aspects in information and management
Parallelism in binary hopfield networks
IWANN'11 Proceedings of the 11th international conference on Artificial neural networks conference on Advances in computational intelligence - Volume Part II
A satisfiability-based approach for embedding generalized tanglegrams on level graphs
SAT'11 Proceedings of the 14th international conference on Theory and application of satisfiability testing
An interactive evolutionary algorithm for multiple objective convex integer problems
Proceedings of the 12th International Conference on Computer Systems and Technologies
A dynamic data middleware cache for rapidly-growing scientific repositories
Proceedings of the ACM/IFIP/USENIX 11th International Conference on Middleware
An integrated algorithm for 3D-IC TSV assignment
Proceedings of the 48th Design Automation Conference
Capacity optimized NoC for multi-mode SoC
Proceedings of the 48th Design Automation Conference
Equilibria problems on games: Complexity versus succinctness
Journal of Computer and System Sciences
Selecting and using views to compute aggregate queries
Journal of Computer and System Sciences
Joint task assignment and cache partitioning with cache locking for WCET minimization on MPSoC
Journal of Parallel and Distributed Computing
A hybrid heuristic-genetic algorithm for task scheduling in heterogeneous processor networks
Journal of Parallel and Distributed Computing
A parallel bi-objective hybrid metaheuristic for energy-aware scheduling for cloud computing systems
Journal of Parallel and Distributed Computing
On the impact of seed scheduling in peer-to-peer networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
A heuristic data-sanitization approach based on TF-IDF
IEA/AIE'11 Proceedings of the 24th international conference on Industrial engineering and other applications of applied intelligent systems conference on Modern approaches in applied intelligence - Volume Part I
Improvement of building automation system
IEA/AIE'11 Proceedings of the 24th international conference on Industrial engineering and other applications of applied intelligent systems conference on Modern approaches in applied intelligence - Volume Part II
On stopping evidence gathering for diagnostic Bayesian networks
ECSQARU'11 Proceedings of the 11th European conference on Symbolic and quantitative approaches to reasoning with uncertainty
Neural networks to guide the selection of heuristics within constraint satisfaction problems
MCPR'11 Proceedings of the Third Mexican conference on Pattern recognition
A review of the application of swarm intelligence algorithms to 2D cutting and packing problem
ICSI'11 Proceedings of the Second international conference on Advances in swarm intelligence - Volume Part I
Using logic in the generation of referring expressions
LACL'11 Proceedings of the 6th international conference on Logical aspects of computational linguistics
The product-free Lambek-Grishin calculus is NP-complete
LACL'11 Proceedings of the 6th international conference on Logical aspects of computational linguistics
Detection and tracking of multiple similar objects based on color-pattern
AIS'11 Proceedings of the Second international conference on Autonomous and intelligent systems
Approximation schemes for capacitated geometric network design
ICALP'11 Proceedings of the 38th international colloquim conference on Automata, languages and programming - Volume Part I
Settling the complexity of local max-cut (almost) completely
ICALP'11 Proceedings of the 38th international colloquim conference on Automata, languages and programming - Volume Part I
Two-machine flow-shop scheduling with rejection
Computers and Operations Research
Efficient algorithms for the double traveling salesman problem with multiple stacks
Computers and Operations Research
Connected searching of weighted trees
Theoretical Computer Science
Finding the shortest move-sequence in the graph-generalized 15-puzzle is NP-hard
Studies in complexity and cryptography
Notes on Levin's theory of average-case complexity
Studies in complexity and cryptography
Wireless sensor network's lifetime maximization problem in case of given set of covers
ICCSA'11 Proceedings of the 2011 international conference on Computational science and Its applications - Volume Part V
Towards programming languages for machine learning and data mining
ISMIS'11 Proceedings of the 19th international conference on Foundations of intelligent systems
ISMIS'11 Proceedings of the 19th international conference on Foundations of intelligent systems
Proactive defense of insider threats through authorization management
Proceedings of 2011 international workshop on Ubiquitous affective awareness and intelligent interaction
Ties matter: complexity of voting manipulation revisited
The 10th International Conference on Autonomous Agents and Multiagent Systems - Volume 1
Stable partitions in additively separable hedonic games
The 10th International Conference on Autonomous Agents and Multiagent Systems - Volume 1
Learning action models for multi-agent planning
The 10th International Conference on Autonomous Agents and Multiagent Systems - Volume 1
Solving election manipulation using integer partitioning problems
The 10th International Conference on Autonomous Agents and Multiagent Systems - Volume 2
Possible winners when new alternatives join: new results coming up!
The 10th International Conference on Autonomous Agents and Multiagent Systems - Volume 2
The complexity of voter partition in Bucklin and fallback voting: solving three open problems
The 10th International Conference on Autonomous Agents and Multiagent Systems - Volume 2
Computational complexity of two variants of the possible winner problem
The 10th International Conference on Autonomous Agents and Multiagent Systems - Volume 2
MOSCFRA: a multi-objective genetic approach for simultaneous clustering and gene ranking
CIBB'10 Proceedings of the 7th international conference on Computational intelligence methods for bioinformatics and biostatistics
Solving a generalized version of the exact cover problem with a light-based device
OSC'10 Proceedings of the Third international conference on Optical supercomputing
An optical solution for the SAT Problem
OSC'10 Proceedings of the Third international conference on Optical supercomputing
Nanotechnology based optical solution for NP-hard problems
OSC'10 Proceedings of the Third international conference on Optical supercomputing
Network verification via routing table queries
SIROCCO'11 Proceedings of the 18th international conference on Structural information and communication complexity
Optimal time data gathering in wireless networks with omni-directional antennas
SIROCCO'11 Proceedings of the 18th international conference on Structural information and communication complexity
Unification in the description logic EL without the top concept
CADE'11 Proceedings of the 23rd international conference on Automated deduction
Globally optimal image partitioning by multicuts
EMMCVPR'11 Proceedings of the 8th international conference on Energy minimization methods in computer vision and pattern recognition
Further improvement on maximum independent set in degree-4 graphs
COCOA'11 Proceedings of the 5th international conference on Combinatorial optimization and applications
On the maximum locally clustered subgraph and some related problems
COCOA'11 Proceedings of the 5th international conference on Combinatorial optimization and applications
Algorithmic aspects of heterogeneous biological networks comparison
COCOA'11 Proceedings of the 5th international conference on Combinatorial optimization and applications
Exponential and polynomial time algorithms for the minimum common string partition problem
COCOA'11 Proceedings of the 5th international conference on Combinatorial optimization and applications
Complexity of the stamp folding problem
COCOA'11 Proceedings of the 5th international conference on Combinatorial optimization and applications
A new approximation algorithm for the selective single-sink buy-at-bulk problem in network design
COCOA'11 Proceedings of the 5th international conference on Combinatorial optimization and applications
Towards minimum delay broadcasting and multicasting in multihop wireless networks
COCOA'11 Proceedings of the 5th international conference on Combinatorial optimization and applications
Public-key identification schemes based on multivariate quadratic polynomials
CRYPTO'11 Proceedings of the 31st annual conference on Advances in cryptology
Inverting HFE systems is quasi-polynomial for all fields
CRYPTO'11 Proceedings of the 31st annual conference on Advances in cryptology
Parameterized complexity in multiple-interval graphs: partition, separation, irredundancy
COCOON'11 Proceedings of the 17th annual international conference on Computing and combinatorics
On the rainbow connectivity of graphs: complexity and FPT algorithms
COCOON'11 Proceedings of the 17th annual international conference on Computing and combinatorics
The topology aware file distribution problem
COCOON'11 Proceedings of the 17th annual international conference on Computing and combinatorics
Finding paths with minimum shared edges
COCOON'11 Proceedings of the 17th annual international conference on Computing and combinatorics
Task ordering and memory management problem for degree of parallelism estimation
COCOON'11 Proceedings of the 17th annual international conference on Computing and combinatorics
A genetic algorithm for constructing a reliable MCDS in probabilistic wireless networks
WASA'11 Proceedings of the 6th international conference on Wireless algorithms, systems, and applications
A maximal independent set based giant component formation in random unit-disk graphs
WASA'11 Proceedings of the 6th international conference on Wireless algorithms, systems, and applications
On the area requirements of Euclidean minimum spanning trees
WADS'11 Proceedings of the 12th international conference on Algorithms and data structures
On rectilinear partitions with minimum stabbing number
WADS'11 Proceedings of the 12th international conference on Algorithms and data structures
Planar subgraphs without low-degree nodes
WADS'11 Proceedings of the 12th international conference on Algorithms and data structures
Density-constrained graph clustering
WADS'11 Proceedings of the 12th international conference on Algorithms and data structures
A fully polynomial approximation scheme for a knapsack problem with a minimum filling constraint
WADS'11 Proceedings of the 12th international conference on Algorithms and data structures
A primal-dual approximation algorithm for min-sum single-machine scheduling problems
APPROX'11/RANDOM'11 Proceedings of the 14th international workshop and 15th international conference on Approximation, randomization, and combinatorial optimization: algorithms and techniques
Workload balancing and throughput optimization for heterogeneous systems subject to failures
Euro-Par'11 Proceedings of the 17th international conference on Parallel processing - Volume Part I
Euro-Par'11 Proceedings of the 17th international conference on Parallel processing - Volume Part I
Object placement for cooperative caches with bandwidth constraints
Euro-Par'11 Proceedings of the 17th international conference on Parallel processing - Volume Part I
A bi-objective scheduling algorithm for desktop grids with uncertain resource availabilities
Euro-Par'11 Proceedings of the 17th international conference on Parallel processing - Volume Part II
K-nn queries in graph databases using M-trees
CAIP'11 Proceedings of the 14th international conference on Computer analysis of images and patterns - Volume Part I
Linear problem kernels for planar graph problems with small distance property
MFCS'11 Proceedings of the 36th international conference on Mathematical foundations of computer science
New parameterized algorithms for the edge dominating set problem
MFCS'11 Proceedings of the 36th international conference on Mathematical foundations of computer science
Edge-matching problems with rotations
FCT'11 Proceedings of the 18th international conference on Fundamentals of computation theory
Coloring graphs without short cycles and long induced paths
FCT'11 Proceedings of the 18th international conference on Fundamentals of computation theory
Journal of Combinatorial Optimization
An exact algorithm for the 0---1 linear knapsack problem with a single continuous variable
Journal of Global Optimization
Publishing anonymous survey rating data
Data Mining and Knowledge Discovery
GAPs: Geospatial Abduction Problems
ACM Transactions on Intelligent Systems and Technology (TIST)
Case studies of successful train crew scheduling optimisation
Journal of Scheduling
A modified apriori algorithm for analysing high-dimensional gene data
IDEAL'11 Proceedings of the 12th international conference on Intelligent data engineering and automated learning
Evolutionary algorithms and dynamic programming
Theoretical Computer Science
An exact algorithm for the Maximum Leaf Spanning Tree problem
Theoretical Computer Science
Parameterizing cut sets in a graph by the number of their components
Theoretical Computer Science
ACM SIGBED Review - Work-in-Progress (WiP) Session of the 23rd Euromicro Conference on Real-Time Systems (ECRTS 2011)
A GRASP approach for makespan minimization on parallel batch processing machines
Journal of Intelligent Manufacturing
Test Planning in Digital Microfluidic Biochips Using Efficient Eulerization Techniques
Journal of Electronic Testing: Theory and Applications
Global optimality conditions and optimization methods for quadratic integer programming problems
Journal of Global Optimization
Maximum lifetime coverage preserving scheduling algorithms in sensor networks
Journal of Global Optimization
Coordination in a two-stage production system: Complexity, conflict and cooperation
Computers and Operations Research
Computers and Operations Research
Resource-constrained flowshop scheduling with separate resource recycling operations
Computers and Operations Research
Computer Networks: The International Journal of Computer and Telecommunications Networking
Total restrained domination in graphs
Computers & Mathematics with Applications
A temporal logic for the interaction of strategies
CONCUR'11 Proceedings of the 22nd international conference on Concurrency theory
Behavioral similarity: a proper metric
BPM'11 Proceedings of the 9th international conference on Business process management
Approximating the smallest 2-vertex connected spanning subgraph of a directed graph
ESA'11 Proceedings of the 19th European conference on Algorithms
Two-bounded-space bin packing revisited
ESA'11 Proceedings of the 19th European conference on Algorithms
Exact algorithm for the maximum induced planar subgraph problem
ESA'11 Proceedings of the 19th European conference on Algorithms
The union of probabilistic boxes: maintaining the volume
ESA'11 Proceedings of the 19th European conference on Algorithms
Algorithms for solving Rubik's cubes
ESA'11 Proceedings of the 19th European conference on Algorithms
Smoothed performance guarantees for local search
ESA'11 Proceedings of the 19th European conference on Algorithms
Testing of heuristic methods: a case study of greedy algorithm
CEE-SET'08 Proceedings of the Third IFIP TC 2 Central and East European conference on Software engineering techniques
Stabilized branch-and-price for the rooted delay-constrained steiner tree problem
INOC'11 Proceedings of the 5th international conference on Network optimization
INOC'11 Proceedings of the 5th international conference on Network optimization
Quickest cluster flow problems on tree networks
INOC'11 Proceedings of the 5th international conference on Network optimization
Reliable and restricted quickest path problems
INOC'11 Proceedings of the 5th international conference on Network optimization
INOC'11 Proceedings of the 5th international conference on Network optimization
Bin repacking scheduling in virtualized datacenters
CP'11 Proceedings of the 17th international conference on Principles and practice of constraint programming
Hierarchically nested convex VCSP
CP'11 Proceedings of the 17th international conference on Principles and practice of constraint programming
CP'11 Proceedings of the 17th international conference on Principles and practice of constraint programming
The parameterized complexity of local consistency
CP'11 Proceedings of the 17th international conference on Principles and practice of constraint programming
A Θ(n) bound-consistency algorithm for the increasing sum constraint
CP'11 Proceedings of the 17th international conference on Principles and practice of constraint programming
Optimizing mixing in pervasive networks: a graph-theoretic perspective
ESORICS'11 Proceedings of the 16th European conference on Research in computer security
The complexity of abduction for separated heap abstractions
SAS'11 Proceedings of the 18th international conference on Static analysis
Highly scalable multi objective test suite minimisation using graphics cards
SSBSE'11 Proceedings of the Third international conference on Search based software engineering
On the complexity of the regenerator placement problem in optical networks
IEEE/ACM Transactions on Networking (TON)
Towards systematic design of enterprise networks
IEEE/ACM Transactions on Networking (TON)
Improved bounds on the throughput efficiency of greedy maximal scheduling in wireless networks
IEEE/ACM Transactions on Networking (TON)
Cross-layer survivability in WDM-based networks
IEEE/ACM Transactions on Networking (TON)
Scheduling hybrid WDM/TDM passive optical networks with nonzero laser tuning time
IEEE/ACM Transactions on Networking (TON)
International Journal of Computer Games Technology
Survivable impairment-aware traffic grooming in WDM rings
Proceedings of the 23rd International Teletraffic Congress
A graph partitioning game for distributed simulation of networks
Proceedings of the 2011 International Workshop on Modeling, Analysis, and Control of Complex Networks
A bi-criteria approach for Steiner's tree problems in communication networks
Proceedings of the 2011 International Workshop on Modeling, Analysis, and Control of Complex Networks
Capturing continuous data and answering aggregate queries in probabilistic XML
ACM Transactions on Database Systems (TODS)
View determinacy for preserving selected information in data transformations
Information Systems
Optimal flow control in acyclic networks with uncontrollable routings and precedence constraints
Discrete Event Dynamic Systems
Analysis of Heuristic Graph Partitioning Methods for the Assignment of Packet Control Units in GERAN
Wireless Personal Communications: An International Journal
Algorithms and complexity results for graph-based pursuit evasion
Autonomous Robots
Small public keys and fast verification for multivariate quadratic public key systems
CHES'11 Proceedings of the 13th international conference on Cryptographic hardware and embedded systems
Reachability and deadlocking problems in multi-stage scheduling
RP'11 Proceedings of the 5th international conference on Reachability problems
Combinatorial auctions in freight logistics
ICCL'11 Proceedings of the Second international conference on Computational logistics
The complexity of game isomorphism
Theoretical Computer Science
On partitioning a graph into two connected subgraphs
Theoretical Computer Science
Computers & Mathematics with Applications
A data-based approach to social influence maximization
Proceedings of the VLDB Endowment
Efficient IP-Based TV Service Over UMTS Networks
Wireless Personal Communications: An International Journal
Fast approximation algorithms for scheduling independent multiprocessor tasks
Proceedings of the 19th High Performance Computing Symposia
Patterns discovery for efficient structured probabilistic inference
SUM'11 Proceedings of the 5th international conference on Scalable uncertainty management
On the power of global reward signals in reinforcement learning
MATES'11 Proceedings of the 9th German conference on Multiagent system technologies
The south zone: distributed algorithms for alliances
SSS'11 Proceedings of the 13th international conference on Stabilization, safety, and security of distributed systems
Congruence closure of compressed terms in polynomial time
FroCoS'11 Proceedings of the 8th international conference on Frontiers of combining systems
Complexity of rational and irrational Nash equilibria
SAGT'11 Proceedings of the 4th international conference on Algorithmic game theory
How hard is it to bribe the judges? a study of the complexity of bribery in judgment aggregation
ADT'11 Proceedings of the Second international conference on Algorithmic decision theory
Minimal and complete explanations for critical multi-attribute decisions
ADT'11 Proceedings of the Second international conference on Algorithmic decision theory
Bribery in path-disruption games
ADT'11 Proceedings of the Second international conference on Algorithmic decision theory
Replacement attacks on behavior based software birthmark
ISC'11 Proceedings of the 14th international conference on Information security
Weighted shortest common supersequence
SPIRE'11 Proceedings of the 18th international conference on String processing and information retrieval
Soft constraints of difference and equality
Journal of Artificial Intelligence Research
Analyzing search topology without running any search: on the connection between causal graphs and h+
Journal of Artificial Intelligence Research
On the complexity of searching in trees and partially ordered structures
Theoretical Computer Science
Theoretical Computer Science
Faster parameterized algorithms for minor containment
Theoretical Computer Science
Theoretical Computer Science
Dominating set is fixed parameter tractable in claw-free graphs
Theoretical Computer Science
Information Sciences: an International Journal
From Gene Trees to Species Trees II: Species Tree Inference by Minimizing Deep Coalescence Events
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Routing multi-class traffic flows in the plane
Computational Geometry: Theory and Applications
Approximation of RNA multiple structural alignment
Journal of Discrete Algorithms
Constructing minimum extended weakly-connected dominating sets for clustering in ad hoc networks
Journal of Parallel and Distributed Computing
A Clock-and-Offer Auction Market for Grid Resources When Bidders Face Stochastic Computational Needs
INFORMS Journal on Computing
Optimal transmission modes by simulated annealing
Proceedings of the 6th ACM workshop on Performance monitoring and measurement of heterogeneous wireless and wired networks
Internet shopping optimization problem
International Journal of Applied Mathematics and Computer Science
Most probable explanations in Bayesian networks: Complexity and tractability
International Journal of Approximate Reasoning
Topological Patterns for Scalable Representation and Analysis of Dataflow Graphs
Journal of Signal Processing Systems
Malware classification based on call graph clustering
Journal in Computer Virology
Minimum d-blockers and d-transversals in graphs
Journal of Combinatorial Optimization
Revised GRASP with path-relinking for the linear ordering problem
Journal of Combinatorial Optimization
PTAS for minimum weighted connected vertex cover problem with c-local condition in unit disk graphs
Journal of Combinatorial Optimization
Journal of Combinatorial Optimization
Iterative Expansion and Color Coding: An Improved Algorithm for 3D-Matching
ACM Transactions on Algorithms (TALG)
Computers and Operations Research
An effective heuristic algorithm for sum coloring of graphs
Computers and Operations Research
Robust scheduling on a single machine to minimize total flow time
Computers and Operations Research
A new DSATUR-based algorithm for exact vertex coloring
Computers and Operations Research
Scheduling unrelated parallel machines with optional machines and jobs selection
Computers and Operations Research
Random algorithms for the loop cutset problem
UAI'99 Proceedings of the Fifteenth conference on Uncertainty in artificial intelligence
Probabilistic logic programming under inheritance with overriding
UAI'01 Proceedings of the Seventeenth conference on Uncertainty in artificial intelligence
Flexible and approximate computation through state-space reduction
UAI'98 Proceedings of the Fourteenth conference on Uncertainty in artificial intelligence
Perception, attention, and resources: a decision-theoretic approach to graphics rendering
UAI'97 Proceedings of the Thirteenth conference on Uncertainty in artificial intelligence
Approximation algorithms for the loop cutset problem
UAI'94 Proceedings of the Tenth international conference on Uncertainty in artificial intelligence
Some complexity considerations in the combination of belief networks
UAI'93 Proceedings of the Ninth international conference on Uncertainty in artificial intelligence
Algorithmic aspects of risk management
Formal modeling
Scheduling concurrent workflows in HPC cloud through exploiting schedule gaps
ICA3PP'11 Proceedings of the 11th international conference on Algorithms and architectures for parallel processing - Volume Part I
Scheduling tasks and communications on a hierarchical system with message contention
ICA3PP'11 Proceedings of the 11th international conference on Algorithms and architectures for parallel processing - Volume Part II
Scheduling an unbounded batching machine with job processing time compatibilities
Discrete Applied Mathematics
On the complexity of isoperimetric problems on trees
Discrete Applied Mathematics
Containment relations in split graphs
Discrete Applied Mathematics
Updating the complexity status of coloring graphs without a fixed induced linear forest
Theoretical Computer Science
Editing graphs to satisfy degree constraints: A parameterized approach
Journal of Computer and System Sciences
The tractability frontier for NFA minimization
Journal of Computer and System Sciences
An Efficient Algorithm for Haplotype Inference on Pedigrees with Recombinations and Mutations
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
The Complexity of Finding Multiple Solutions to Betweenness and Quartet Compatibility
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
A methodology to find the elementary landscape decomposition of combinatorial optimization problems
Evolutionary Computation
Weisfeiler-Lehman Graph Kernels
The Journal of Machine Learning Research
REX: explaining relationships between entity pairs
Proceedings of the VLDB Endowment
SIAM Journal on Discrete Mathematics
Matrix $p$-Norms Are NP-Hard to Approximate If $p\neq1,2,\infty$
SIAM Journal on Matrix Analysis and Applications
Approximation Algorithms for Scheduling Parallel Jobs
SIAM Journal on Computing
Strict Cost Sharing Schemes for Steiner Forest
SIAM Journal on Computing
Integer Programming Subject to Monomial Constraints
SIAM Journal on Optimization
5-Coloring Graphs with 4 Crossings
SIAM Journal on Discrete Mathematics
Inapproximability Results for Maximum Edge Biclique, Minimum Linear Arrangement, and Sparsest Cut
SIAM Journal on Computing
Theory and Applications of Robust Optimization
SIAM Review
Hardness of Precedence Constrained Scheduling on Identical Machines
SIAM Journal on Computing
Network of evolutionary processors with splicing rules
IWINAC'05 Proceedings of the First international conference on Mechanisms, Symbols, and Models Underlying Cognition: interplay between natural and artificial computation - Volume Part I
Network of evolutionary processors with splicing rules and forbidding context
IWINAC'05 Proceedings of the First international conference on Mechanisms, Symbols, and Models Underlying Cognition: interplay between natural and artificial computation - Volume Part I
Improved squeaky wheel optimisation for driver scheduling
PPSN'06 Proceedings of the 9th international conference on Parallel Problem Solving from Nature
Solving SAT and HPP with accepting splicing systems
PPSN'06 Proceedings of the 9th international conference on Parallel Problem Solving from Nature
Evolving bin packing heuristics with genetic programming
PPSN'06 Proceedings of the 9th international conference on Parallel Problem Solving from Nature
Cryptanalysis of variants of UOV
ISC'06 Proceedings of the 9th international conference on Information Security
On the impact of small-world on local search
AI*IA'05 Proceedings of the 9th conference on Advances in Artificial Intelligence
Using a theorem prover for reasoning on constraint problems
AI*IA'05 Proceedings of the 9th conference on Advances in Artificial Intelligence
The string barcoding problem is NP-Hard
RCG'05 Proceedings of the 2005 international conference on Comparative Genomics
A new n-ary existential quantifier in description logics
KI'05 Proceedings of the 28th annual German conference on Advances in Artificial Intelligence
A refined evaluation function for the MinLA problem
MICAI'06 Proceedings of the 5th Mexican international conference on Artificial Intelligence
ILS-perturbation based on local optima structure for the QAP problem
MICAI'06 Proceedings of the 5th Mexican international conference on Artificial Intelligence
Filtering epitope alignments to improve protein surface prediction
ISPA'06 Proceedings of the 2006 international conference on Frontiers of High Performance Computing and Networking
Searching and visualizing brain networks in schizophrenia
ISBMDA'06 Proceedings of the 7th international conference on Biological and Medical Data Analysis
Revisiting colored networks and privacy preserving censorship
CRITIS'06 Proceedings of the First international conference on Critical Information Infrastructures Security
Compact samples for data dissemination
ICDT'07 Proceedings of the 11th international conference on Database Theory
A membrane algorithm for the min storage problem
WMC'06 Proceedings of the 7th international conference on Membrane Computing
ICDCN'06 Proceedings of the 8th international conference on Distributed Computing and Networking
On maximizing network lifetime of broadcast in WANETs under an overhearing cost model
ICDCN'06 Proceedings of the 8th international conference on Distributed Computing and Networking
On fault tolerance of two-dimensional mesh networks
ICDCN'06 Proceedings of the 8th international conference on Distributed Computing and Networking
Teaching memoryless randomized learners without feedback
ALT'06 Proceedings of the 17th international conference on Algorithmic Learning Theory
SAT Modulo Linear Arithmetic for Solving Polynomial Constraints
Journal of Automated Reasoning
Efficient datapath merging for the overhead reduction of run-time reconfigurable systems
The Journal of Supercomputing
ISCIS'06 Proceedings of the 21st international conference on Computer and Information Sciences
PKDD'06 Proceedings of the 10th European conference on Principle and Practice of Knowledge Discovery in Databases
Discrete optimization models for cooperative communication in ad hoc networks
ADHOC-NOW'06 Proceedings of the 5th international conference on Ad-Hoc, Mobile, and Wireless Networks
Learning hurdles for sleeping experts
Proceedings of the 3rd Innovations in Theoretical Computer Science Conference
Paging for multi-core shared caches
Proceedings of the 3rd Innovations in Theoretical Computer Science Conference
An improved transiently chaotic neural network for solving the k-coloring problem
ISNN'05 Proceedings of the Second international conference on Advances in Neural Networks - Volume Part I
A light-based device for solving the hamiltonian path problem
UC'06 Proceedings of the 5th international conference on Unconventional Computation
ICARIS'06 Proceedings of the 5th international conference on Artificial Immune Systems
Single-Actor selection algorithms for wireless sensor and actor networks
WASA'06 Proceedings of the First international conference on Wireless Algorithms, Systems, and Applications
A convex-hull based algorithm to connect the maximal independent set in unit-disk graphs
WASA'06 Proceedings of the First international conference on Wireless Algorithms, Systems, and Applications
Minimum multicast time problem in wireless sensor networks
WASA'06 Proceedings of the First international conference on Wireless Algorithms, Systems, and Applications
Graph properties based filtering
CP'06 Proceedings of the 12th international conference on Principles and Practice of Constraint Programming
An algebraic characterisation of complexity for valued constraint
CP'06 Proceedings of the 12th international conference on Principles and Practice of Constraint Programming
Typed guarded decompositions for constraint satisfaction
CP'06 Proceedings of the 12th international conference on Principles and Practice of Constraint Programming
Approximability of integer programming with generalised constraints
CP'06 Proceedings of the 12th international conference on Principles and Practice of Constraint Programming
A key-predistribution-based weakly connected dominating set for secure clustering in DSN
HPCC'06 Proceedings of the Second international conference on High Performance Computing and Communications
On multicasting steiner trees for delay and delay variation constraints
HPCC'06 Proceedings of the Second international conference on High Performance Computing and Communications
ICNC'06 Proceedings of the Second international conference on Advances in Natural Computation - Volume Part I
A DAG comparison algorithm and its application to temporal data warehousing
CoMoGIS'06 Proceedings of the 2006 international conference on Advances in Conceptual Modeling: theory and practice
Approximating the traffic grooming problem in tree and star networks
WG'06 Proceedings of the 32nd international conference on Graph-Theoretic Concepts in Computer Science
Clique graph recognition is NP-complete
WG'06 Proceedings of the 32nd international conference on Graph-Theoretic Concepts in Computer Science
Graph labelings derived from models in distributed computing
WG'06 Proceedings of the 32nd international conference on Graph-Theoretic Concepts in Computer Science
Hardness of approximation results for the problem of finding the stopping distance in tanner graphs
FSTTCS'06 Proceedings of the 26th international conference on Foundations of Software Technology and Theoretical Computer Science
Multi-stack boundary labeling problems
FSTTCS'06 Proceedings of the 26th international conference on Foundations of Software Technology and Theoretical Computer Science
Fast exponential algorithms for maximum γ-regular induced subgraph problems
FSTTCS'06 Proceedings of the 26th international conference on Foundations of Software Technology and Theoretical Computer Science
Solving connected dominating set faster than 2n
FSTTCS'06 Proceedings of the 26th international conference on Foundations of Software Technology and Theoretical Computer Science
Poster: towards formal verification of DIFC policies
Proceedings of the 18th ACM conference on Computer and communications security
Range query processing in multi-objective networks
Proceedings of the 19th ACM SIGSPATIAL International Conference on Advances in Geographic Information Systems
Weighted adaptive neighborhood hypergraph partitioning for image segmentation
ICAPR'05 Proceedings of the Third international conference on Pattern Recognition and Image Analysis - Volume Part II
A segmentation algorithm for noisy images
CAIP'05 Proceedings of the 11th international conference on Computer Analysis of Images and Patterns
Reducing the lengths of checking sequences by overlapping
TestCom'06 Proceedings of the 18th IFIP TC6/WG6.1 international conference on Testing of Communicating Systems
Bounded-degree forbidden patterns problems are constraint satisfaction problems
CSR'06 Proceedings of the First international computer science conference on Theory and Applications
Weak compositions and their applications to polynomial lower bounds for kernelization
Proceedings of the twenty-third annual ACM-SIAM symposium on Discrete Algorithms
Near linear time (1 + ε)-approximation for restricted shortest paths in undirected graphs
Proceedings of the twenty-third annual ACM-SIAM symposium on Discrete Algorithms
The entropy rounding method in approximation algorithms
Proceedings of the twenty-third annual ACM-SIAM symposium on Discrete Algorithms
The complexity of conservative valued CSPs
Proceedings of the twenty-third annual ACM-SIAM symposium on Discrete Algorithms
Subexponential parameterized algorithm for minimum fill-in
Proceedings of the twenty-third annual ACM-SIAM symposium on Discrete Algorithms
Proceedings of the 9th International Conference on Advances in Mobile Computing and Multimedia
Weakly cooperative guards in grids
ICCSA'05 Proceedings of the 2005 international conference on Computational Science and its Applications - Volume Part I
Memetic algorithms for the MinLA problem
EA'05 Proceedings of the 7th international conference on Artificial Evolution
PPAM'05 Proceedings of the 6th international conference on Parallel Processing and Applied Mathematics
A new library for evolutionary algorithms
PPAM'05 Proceedings of the 6th international conference on Parallel Processing and Applied Mathematics
An efficient provable distinguisher for HFE
ICALP'06 Proceedings of the 33rd international conference on Automata, Languages and Programming - Volume Part II
On the approximation hardness of some generalizations of TSP
SWAT'06 Proceedings of the 10th Scandinavian conference on Algorithm Theory
On guarding rectilinear domains
SWAT'06 Proceedings of the 10th Scandinavian conference on Algorithm Theory
Approximating rational objectives is as easy as approximating linear ones
SWAT'06 Proceedings of the 10th Scandinavian conference on Algorithm Theory
Formulas and protocols for broadcasting in mobile ad hoc networks
EUC'06 Proceedings of the 2006 international conference on Embedded and Ubiquitous Computing
Cross-Layer duty cycle scheduling with data aggregation routing in wireless sensor networks
EUC'06 Proceedings of the 2006 international conference on Embedded and Ubiquitous Computing
IWDC'05 Proceedings of the 7th international conference on Distributed Computing
Agglomerative hierarchical approach for location area planning in a PCSN
IWDC'05 Proceedings of the 7th international conference on Distributed Computing
A clustering-based selective probing framework to support internet quality of service routing
IWDC'05 Proceedings of the 7th international conference on Distributed Computing
Semantic overlay based services routing between MPLS domains
IWDC'05 Proceedings of the 7th international conference on Distributed Computing
IWDC'05 Proceedings of the 7th international conference on Distributed Computing
Estimated path selection for the delay constrained least cost path
PCI'05 Proceedings of the 10th Panhellenic conference on Advances in Informatics
Source-Based minimum cost multicasting: intermediate-node selection with potentially low cost
PCI'05 Proceedings of the 10th Panhellenic conference on Advances in Informatics
Revisiting the election problem in asynchronous distributed systems
APPT'05 Proceedings of the 6th international conference on Advanced Parallel Processing Technologies
Distribution design in distributed databases using clustering to solve large instances
ISPA'05 Proceedings of the Third international conference on Parallel and Distributed Processing and Applications
Approximation of self-stabilizing vertex cover less than 2
SSS'05 Proceedings of the 7th international conference on Self-Stabilizing Systems
Scheduling multiple flows on parallel disks
HiPC'05 Proceedings of the 12th international conference on High Performance Computing
A clonal selection algorithm for coloring, hitting set and satisfiability problems
WIRN'05 Proceedings of the 16th Italian conference on Neural Nets
GIST: group-independent spanning tree for data aggregation in dense sensor networks
DCOSS'06 Proceedings of the Second IEEE international conference on Distributed Computing in Sensor Systems
The approximability of the exemplar breakpoint distance problem
AAIM'06 Proceedings of the Second international conference on Algorithmic Aspects in Information and Management
Subsequence packing: complexity, approximation, and application
AAIM'06 Proceedings of the Second international conference on Algorithmic Aspects in Information and Management
Identifying co-referential names across large corpora
CPM'06 Proceedings of the 17th Annual conference on Combinatorial Pattern Matching
Large-sample learning of bayesian networks is NP-hard
UAI'03 Proceedings of the Nineteenth conference on Uncertainty in Artificial Intelligence
LAYERWIDTH: analysis of a new metric for directed acyclic graphs
UAI'03 Proceedings of the Nineteenth conference on Uncertainty in Artificial Intelligence
Application of the grouping genetic algorithm to university course timetabling
EvoCOP'05 Proceedings of the 5th European conference on Evolutionary Computation in Combinatorial Optimization
UC'05 Proceedings of the 4th international conference on Unconventional Computation
A computational model for self-assembling flexible tiles
UC'05 Proceedings of the 4th international conference on Unconventional Computation
On computational complexity of counting fixed points in symmetric boolean graph automata
UC'05 Proceedings of the 4th international conference on Unconventional Computation
Weighted coloring: further complexity and approximability results
ICTCS'05 Proceedings of the 9th Italian conference on Theoretical Computer Science
On the computational complexity of the L(2,1)-labeling problem for regular graphs
ICTCS'05 Proceedings of the 9th Italian conference on Theoretical Computer Science
Agglomerative hierarchical clustering with constraints: theoretical and empirical results
PKDD'05 Proceedings of the 9th European conference on Principles and Practice of Knowledge Discovery in Databases
Complexity and approximation of fixing numerical attributes in databases under integrity constraints
DBPL'05 Proceedings of the 10th international conference on Database Programming Languages
Using dominators for solving constrained path problems
PADL'06 Proceedings of the 8th international conference on Practical Aspects of Declarative Languages
Concepts of automata construction from LTL
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
GD'05 Proceedings of the 13th international conference on Graph Drawing
GD'05 Proceedings of the 13th international conference on Graph Drawing
Parent assignment is hard for the MDL, AIC, and NML costs
COLT'06 Proceedings of the 19th annual conference on Learning Theory
Replicative - distribution rules in p systems with active membranes
ICTAC'04 Proceedings of the First international conference on Theoretical Aspects of Computing
Equivalence models for quantified boolean formulas
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Worst case bounds for some NP-Complete modified Horn-SAT problems
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Overlay networks with linear capacity constraints
IWQoS'05 Proceedings of the 13th international conference on Quality of Service
On topological design of service overlay networks
IWQoS'05 Proceedings of the 13th international conference on Quality of Service
Supporting differentiated QoS in MPLS networks
IWQoS'05 Proceedings of the 13th international conference on Quality of Service
Routing explicit side information for data compression in wireless sensor networks
DCOSS'05 Proceedings of the First IEEE international conference on Distributed Computing in Sensor Systems
Fault-tolerant self-organization in sensor networks
DCOSS'05 Proceedings of the First IEEE international conference on Distributed Computing in Sensor Systems
Optimal task allocation on non-volatile memory based hybrid main memory
Proceedings of the 2011 ACM Symposium on Research in Applied Computation
Comparison of Hyper-DAG based task mapping and scheduling heuristics for wireless sensor networks
ISCIS'05 Proceedings of the 20th international conference on Computer and Information Sciences
Generalizing redundancy elimination in checking sequences
ISCIS'05 Proceedings of the 20th international conference on Computer and Information Sciences
An alternative proof that exact inference problem in bayesian belief networks is NP-hard
ISCIS'05 Proceedings of the 20th international conference on Computer and Information Sciences
Distance constrained labelings of graphs of bounded treewidth
ICALP'05 Proceedings of the 32nd international conference on Automata, Languages and Programming
Braess's paradox, fibonacci numbers, and exponential inapproximability
ICALP'05 Proceedings of the 32nd international conference on Automata, Languages and Programming
Dynamic bin packing of unit fractions items
ICALP'05 Proceedings of the 32nd international conference on Automata, Languages and Programming
From primal-dual to cost shares and back: a stronger LP relaxation for the steiner forest problem
ICALP'05 Proceedings of the 32nd international conference on Automata, Languages and Programming
On the hardness of embeddings between two finite metrics
ICALP'05 Proceedings of the 32nd international conference on Automata, Languages and Programming
An algorithm for the SAT problem for formulae of linear length
ESA'05 Proceedings of the 13th annual European conference on Algorithms
Roll cutting in the curtain industry
ESA'05 Proceedings of the 13th annual European conference on Algorithms
Preemptive scheduling of independent jobs on identical parallel machines subject to migration delays
ESA'05 Proceedings of the 13th annual European conference on Algorithms
Fast monotone 3-approximation algorithm for scheduling related machines
ESA'05 Proceedings of the 13th annual European conference on Algorithms
Approximation complexity of min-max (regret) versions of shortest path, spanning tree, and knapsack
ESA'05 Proceedings of the 13th annual European conference on Algorithms
On multicast communications with minimum resources
HPCC'05 Proceedings of the First international conference on High Performance Computing and Communications
Rotational lease: providing high availability in a shared storage file system
HPCC'05 Proceedings of the First international conference on High Performance Computing and Communications
A productive duplication-based scheduling algorithm for heterogeneous computing systems
HPCC'05 Proceedings of the First international conference on High Performance Computing and Communications
The hardness of network design for unsplittable flow with selfish users
WAOA'05 Proceedings of the Third international conference on Approximation and Online Algorithms
The conference call search problem in wireless networks
WAOA'05 Proceedings of the Third international conference on Approximation and Online Algorithms
Scheduling parallel jobs with linear speedup
WAOA'05 Proceedings of the Third international conference on Approximation and Online Algorithms
The online target date assignment problem
WAOA'05 Proceedings of the Third international conference on Approximation and Online Algorithms
On approximating restricted cycle covers
WAOA'05 Proceedings of the Third international conference on Approximation and Online Algorithms
Approximation schemes for packing with item fragmentation
WAOA'05 Proceedings of the Third international conference on Approximation and Online Algorithms
On the topologies of local minimum spanning trees
CAAN'06 Proceedings of the Third international conference on Combinatorial and Algorithmic Aspects of Networking
CAAN'06 Proceedings of the Third international conference on Combinatorial and Algorithmic Aspects of Networking
The hitting set attack on anonymity protocols
IH'04 Proceedings of the 6th international conference on Information Hiding
Building secure tame-like multivariate public-key cryptosystems: the new TTS
ACISP'05 Proceedings of the 10th Australasian conference on Information Security and Privacy
Scheduling of re-entrant lines with neuro-dynamic programming based on a new evaluating criterion
ISNN'06 Proceedings of the Third international conference on Advances in Neural Networks - Volume Part III
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part III
Improving data quality by source analysis
Journal of Data and Information Quality (JDIQ)
On a class of branching problems in broadcasting and distribution
Computers and Operations Research
Information Processing Letters
Performance and cost trade-off in Tracking Area reconfiguration: A Pareto-optimization approach
Computer Networks: The International Journal of Computer and Telecommunications Networking
Computer Networks: The International Journal of Computer and Telecommunications Networking
Knowledge hiding from tree and graph databases
Data & Knowledge Engineering
Computers and Operations Research
An incomplete m-exchange algorithm for solving the large-scale multi-scenario knapsack problem
Computers and Operations Research
Taking the final step to a full dichotomy of the possible winner problem in pure scoring rules
Information Processing Letters
International Journal of Approximate Reasoning
Formal context coverage based on isolated labels: An efficient solution for text feature extraction
Information Sciences: an International Journal
An adaptive hierarchical master-worker (AHMW) framework for grids-Application to B&B algorithms
Journal of Parallel and Distributed Computing
Minimum data-latency-bound k-sink placement problem in wireless sensor networks
IEEE/ACM Transactions on Networking (TON)
A refined performance characterization of longest-queue-first policy in wireless networks
IEEE/ACM Transactions on Networking (TON)
A random search heuristic for a multi-objective production planning
Computers and Industrial Engineering
Bacteria foraging optimization for protein sequence analysis on the grid
Future Generation Computer Systems
Fuzzy scheduling with swarm intelligence-based knowledge acquisition for grid computing
Engineering Applications of Artificial Intelligence
Expert Systems with Applications: An International Journal
Boolean functions with a simple certificate for CNF complexity
Discrete Applied Mathematics
Induced packing of odd cycles in planar graphs
Theoretical Computer Science
Degree-bounded minimum spanning tree for unit disk graph
Theoretical Computer Science
A structural/temporal query language for Business Processes
Journal of Computer and System Sciences
A hybrid quantum-inspired genetic algorithm for multi-objective scheduling
ICIC'06 Proceedings of the 2006 international conference on Intelligent Computing - Volume Part I
An improved simulated annealing algorithm for the maximum independent set problem
ICIC'06 Proceedings of the 2006 international conference on Intelligent Computing - Volume Part I
Two-Phase GA-Based model to learn generalized hyper-heuristics for the 2d-cutting stock problem
IBERAMIA-SBIA'06 Proceedings of the 2nd international joint conference, and Proceedings of the 10th Ibero-American Conference on AI 18th Brazilian conference on Advances in Artificial Intelligence
Parallel ant colony optimization for the traveling salesman problem
ANTS'06 Proceedings of the 5th international conference on Ant Colony Optimization and Swarm Intelligence
Some experiments with ant colony algorithms for the exam timetabling problem
ANTS'06 Proceedings of the 5th international conference on Ant Colony Optimization and Swarm Intelligence
Universal structures and the logic of forbidden patterns
CSL'06 Proceedings of the 20th international conference on Computer Science Logic
Conserved interval distance computation between non-trivial genomes
COCOON'05 Proceedings of the 11th annual international conference on Computing and Combinatorics
Algorithmic and complexity issues of three clustering methods in microarray data analysis
COCOON'05 Proceedings of the 11th annual international conference on Computing and Combinatorics
Routing and coloring for maximal number of trees
COCOON'05 Proceedings of the 11th annual international conference on Computing and Combinatorics
On packing and coloring hyperedges in a cycle
COCOON'05 Proceedings of the 11th annual international conference on Computing and Combinatorics
Best fitting fixed-length substring patterns for a set of strings
COCOON'05 Proceedings of the 11th annual international conference on Computing and Combinatorics
A tight analysis of the maximal matching heuristic
COCOON'05 Proceedings of the 11th annual international conference on Computing and Combinatorics
Approximation algorithms for the b-edge dominating set problem and its related problems
COCOON'05 Proceedings of the 11th annual international conference on Computing and Combinatorics
Complexity and approximation of satisfactory partition problems
COCOON'05 Proceedings of the 11th annual international conference on Computing and Combinatorics
Distributed weighted vertex cover via maximal matchings
COCOON'05 Proceedings of the 11th annual international conference on Computing and Combinatorics
An O(2O(k)n3) FPT algorithm for the undirected feedback vertex set problem*
COCOON'05 Proceedings of the 11th annual international conference on Computing and Combinatorics
Bin packing and covering problems with rejection
COCOON'05 Proceedings of the 11th annual international conference on Computing and Combinatorics
Query-monotonic turing reductions
COCOON'05 Proceedings of the 11th annual international conference on Computing and Combinatorics
A BPP-Based scheduling algorithm in bluetooth systems
MSN'05 Proceedings of the First international conference on Mobile Ad-hoc and Sensor Networks
GCC'05 Proceedings of the 4th international conference on Grid and Cooperative Computing
Distribution of mobile agents in vulnerable networks
GCC'05 Proceedings of the 4th international conference on Grid and Cooperative Computing
A localized algorithm for minimum-energy broadcasting problem in MANET
GCC'05 Proceedings of the 4th international conference on Grid and Cooperative Computing
An active measurement approach for link faults monitoring in ISP networks
GCC'05 Proceedings of the 4th international conference on Grid and Cooperative Computing
Resource allocation systems: some complexity results on the S74PR class
FORTE'06 Proceedings of the 26th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Similar keys of multivariate quadratic public key cryptosystems
CANS'05 Proceedings of the 4th international conference on Cryptology and Network Security
A revenue-aware bandwidth allocation model and algorithm in IP networks
ICESS'05 Proceedings of the Second international conference on Embedded Software and Systems
Multicast routing with minimum energy cost and minimum delay in wireless sensor networks
EUC'05 Proceedings of the 2005 international conference on Embedded and Ubiquitous Computing
Walrasian equilibrium: hardness, approximations and tractable instances
WINE'05 Proceedings of the First international conference on Internet and Network Economics
WINE'05 Proceedings of the First international conference on Internet and Network Economics
Online bin packing of fragile objects with application in cellular networks
WINE'05 Proceedings of the First international conference on Internet and Network Economics
New results on the complexity of uniformly mixed nash equilibria
WINE'05 Proceedings of the First international conference on Internet and Network Economics
Nash equilibria in all-optical networks
WINE'05 Proceedings of the First international conference on Internet and Network Economics
Searching paths of constant bandwidth
SOFSEM'06 Proceedings of the 32nd conference on Current Trends in Theory and Practice of Computer Science
Graph searching and search time
SOFSEM'06 Proceedings of the 32nd conference on Current Trends in Theory and Practice of Computer Science
Nonblocker: parameterized algorithmics for minimum dominating set
SOFSEM'06 Proceedings of the 32nd conference on Current Trends in Theory and Practice of Computer Science
On the NP-Completeness of some graph cluster measures
SOFSEM'06 Proceedings of the 32nd conference on Current Trends in Theory and Practice of Computer Science
The probability of success of mobile agents when routing in faulty networks
APWeb'06 Proceedings of the 8th Asia-Pacific Web conference on Frontiers of WWW Research and Development
A linear solution for QSAT with membrane creation
WMC'05 Proceedings of the 6th international conference on Membrane Computing
Boolean circuits and a DNA algorithm in membrane computing
WMC'05 Proceedings of the 6th international conference on Membrane Computing
A "medium-field" multivariate public-key encryption scheme
CT-RSA'06 Proceedings of the 2006 The Cryptographers' Track at the RSA conference on Topics in Cryptology
Optimizing monitoring queries over distributed data
EDBT'06 Proceedings of the 10th international conference on Advances in Database Technology
A multiagent algorithm for graph partitioning
EuroGP'06 Proceedings of the 2006 international conference on Applications of Evolutionary Computing
Efficient evolutionary approaches for the data ordering problem with inversion
EuroGP'06 Proceedings of the 2006 international conference on Applications of Evolutionary Computing
Scheduling jobs on a flexible batching machine: model, complexity and algorithms
TAMC'06 Proceedings of the Third international conference on Theory and Applications of Models of Computation
On load-balanced semi-matchings for weighted bipartite graphs
TAMC'06 Proceedings of the Third international conference on Theory and Applications of Models of Computation
A comparison of exact and ε-approximation algorithms for constrained routing
NETWORKING'06 Proceedings of the 5th international IFIP-TC6 conference on Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communications Systems
Max-Min fair distribution of modular network flows on fixed paths
NETWORKING'06 Proceedings of the 5th international IFIP-TC6 conference on Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communications Systems
Multi-service routing: a routing proposal for the next generation internet
NETWORKING'06 Proceedings of the 5th international IFIP-TC6 conference on Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communications Systems
Optimal wavelength converter placement with guaranteed wavelength usage
NETWORKING'06 Proceedings of the 5th international IFIP-TC6 conference on Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communications Systems
NETWORKING'06 Proceedings of the 5th international IFIP-TC6 conference on Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communications Systems
Fault tolerant guarding of grids
ICCSA'06 Proceedings of the 6th international conference on Computational Science and Its Applications - Volume Part I
Solving election problem in asynchronous distributed systems
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part I
Computational generation of referring expressions: A survey
Computational Linguistics
An extensible light-weight XML-Based monitoring system for sequence databases
DILS'06 Proceedings of the Third international conference on Data Integration in the Life Sciences
A greedy approach to concurrent processing of frequent itemset queries
DaWaK'06 Proceedings of the 8th international conference on Data Warehousing and Knowledge Discovery
Efficient mining of large maximal bicliques
DaWaK'06 Proceedings of the 8th international conference on Data Warehousing and Knowledge Discovery
An effective multi-level algorithm for bisecting graph
ADMA'06 Proceedings of the Second international conference on Advanced Data Mining and Applications
Structurally unambiguous finite automata
CIAA'06 Proceedings of the 11th international conference on Implementation and Application of Automata
Multi-index transport problems with decomposition structure
Automation and Remote Control
Type inference and type checking for queries over execution traces
The VLDB Journal — The International Journal on Very Large Data Bases
The balanced academic curriculum problem revisited
Journal of Heuristics
A discrete adaptive stochastic neural model for constrained optimization
ICANN'06 Proceedings of the 16th international conference on Artificial Neural Networks - Volume Part I
Classes of graphs with small rank decompositions are Χ-bounded
European Journal of Combinatorics
Minimum clique partition problem with constrained weight for interval graphs
COCOON'06 Proceedings of the 12th annual international conference on Computing and Combinatorics
DNA'06 Proceedings of the 12th international conference on DNA Computing
On links between concept lattices and related complexity problems
ICFCA'10 Proceedings of the 8th international conference on Formal Concept Analysis
A modified merging approach for datapath configuration time reduction
ARC'10 Proceedings of the 6th international conference on Reconfigurable Computing: architectures, Tools and Applications
Hybrid genetic algorithm for minimum dominating set problem
ICCSA'10 Proceedings of the 2010 international conference on Computational Science and Its Applications - Volume Part IV
LATIN'10 Proceedings of the 9th Latin American conference on Theoretical Informatics
Local search performance guarantees for restricted related parallel machine scheduling
LATIN'10 Proceedings of the 9th Latin American conference on Theoretical Informatics
Minimum-perimeter intersecting polygons
LATIN'10 Proceedings of the 9th Latin American conference on Theoretical Informatics
Some observations on holographic algorithms
LATIN'10 Proceedings of the 9th Latin American conference on Theoretical Informatics
Largest induced acyclic tournament in random digraphs: a 2-point concentration
LATIN'10 Proceedings of the 9th Latin American conference on Theoretical Informatics
Computational challenges with cliques, quasi-cliques and clique partitions in graphs
SEA'10 Proceedings of the 9th international conference on Experimental Algorithms
Filtering algorithms for the NVALUE constraint
CPAIOR'05 Proceedings of the Second international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
The layered net surface problems in discrete geometry and medical image segmentation
ISAAC'05 Proceedings of the 16th international conference on Algorithms and Computation
ISAAC'05 Proceedings of the 16th international conference on Algorithms and Computation
On the complexity of the G-reconstruction problem
ISAAC'05 Proceedings of the 16th international conference on Algorithms and Computation
On-line computation and maximum-weighted hereditary subgraph problems
ISAAC'05 Proceedings of the 16th international conference on Algorithms and Computation
An improved interval routing scheme for almost all networks based on dominating cliques
ISAAC'05 Proceedings of the 16th international conference on Algorithms and Computation
ISAAC'05 Proceedings of the 16th international conference on Algorithms and Computation
On the complexity of global constraint satisfaction
ISAAC'05 Proceedings of the 16th international conference on Algorithms and Computation
ISAAC'05 Proceedings of the 16th international conference on Algorithms and Computation
Solving minimum weight exact satisfiability in time O(20.2441n)
ISAAC'05 Proceedings of the 16th international conference on Algorithms and Computation
Computing optimal solutions for the MIN 3-SET COVERING problem
ISAAC'05 Proceedings of the 16th international conference on Algorithms and Computation
Complexity of the min-max (regret) versions of cut problems
ISAAC'05 Proceedings of the 16th international conference on Algorithms and Computation
Minimum weight triangulation by cutting out triangles
ISAAC'05 Proceedings of the 16th international conference on Algorithms and Computation
On efficient weighted rectangle packing with large resources
ISAAC'05 Proceedings of the 16th international conference on Algorithms and Computation
The capacitated traveling salesman problem with pickups and deliveries on a tree
ISAAC'05 Proceedings of the 16th international conference on Algorithms and Computation
The election problem in asynchronous distributed systems with bounded faulty processes
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part V
On the approximability of the minimum congestion unsplittable shortest path routing problem
IPCO'05 Proceedings of the 11th international conference on Integer Programming and Combinatorial Optimization
Unrelated parallel machine scheduling with resource dependent processing times
IPCO'05 Proceedings of the 11th international conference on Integer Programming and Combinatorial Optimization
Faster exact solving of SAT formulae with a low number of occurrences per variable
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
Gene expression biclustering using random walk strategies
DaWaK'05 Proceedings of the 7th international conference on Data Warehousing and Knowledge Discovery
Keynote speech: testing methodologies for embedded systems and systems-on-chip
ICESS'04 Proceedings of the First international conference on Embedded Software and Systems
Efficient approximation of convex recolorings
APPROX'05/RANDOM'05 Proceedings of the 8th international workshop on Approximation, Randomization and Combinatorial Optimization Problems, and Proceedings of the 9th international conference on Randamization and Computation: algorithms and techniques
Pairwise cores in information systems
RSFDGrC'05 Proceedings of the 10th international conference on Rough Sets, Fuzzy Sets, Data Mining, and Granular Computing - Volume Part I
On the complexity of probabilistic inference in singly connected bayesian networks
RSFDGrC'05 Proceedings of the 10th international conference on Rough Sets, Fuzzy Sets, Data Mining, and Granular Computing - Volume Part I
A fragile watermarking based on knapsack problem
PCM'04 Proceedings of the 5th Pacific Rim conference on Advances in Multimedia Information Processing - Volume Part III
Task scheduling algorithm for interconnection constrained network of heterogeneous processors
CIT'04 Proceedings of the 7th international conference on Intelligent Information Technology
Overlaying multiple maps efficiently
CIT'04 Proceedings of the 7th international conference on Intelligent Information Technology
ISPA'04 Proceedings of the Second international conference on Parallel and Distributed Processing and Applications
An abstract framework for generating maximal answers to queries
ICDT'05 Proceedings of the 10th international conference on Database Theory
Replicated server placement with qos constraints
QoS-IP'05 Proceedings of the Third international conference on Quality of Service in Multiservice IP Networks
Unicast and multicast qos routing with multiple constraints
QoS-IP'05 Proceedings of the Third international conference on Quality of Service in Multiservice IP Networks
QoS routing in multi-hop wireless networks: a new model and algorithm
QoS-IP'05 Proceedings of the Third international conference on Quality of Service in Multiservice IP Networks
On some weighted satisfiability and graph problems
SOFSEM'05 Proceedings of the 31st international conference on Theory and Practice of Computer Science
Checking herbrand equalities and beyond
VMCAI'05 Proceedings of the 6th international conference on Verification, Model Checking, and Abstract Interpretation
Tractable rational map signature
PKC'05 Proceedings of the 8th international conference on Theory and Practice in Public Key Cryptography
Large superfluous keys in multivariate quadratic asymmetric systems
PKC'05 Proceedings of the 8th international conference on Theory and Practice in Public Key Cryptography
Cryptanalysis of HFEv and internal perturbation of HFE
PKC'05 Proceedings of the 8th international conference on Theory and Practice in Public Key Cryptography
On the load-balanced demand points assignment problem in large-scale wireless LANs
ICOIN'05 Proceedings of the 2005 international conference on Information Networking: convergence in broadband and mobile networking
Hardness on IP-subnet aware routing in WDM network
ICOIN'05 Proceedings of the 2005 international conference on Information Networking: convergence in broadband and mobile networking
A framework for double patterning-enabled design
Proceedings of the International Conference on Computer-Aided Design
The approximation scheme for peak power driven voltage partitioning
Proceedings of the International Conference on Computer-Aided Design
Coloring random graphs online without creating monochromatic subgraphs
Proceedings of the twenty-second annual ACM-SIAM symposium on Discrete Algorithms
Bin packing via discrepancy of permutations
Proceedings of the twenty-second annual ACM-SIAM symposium on Discrete Algorithms
Proceedings of the twenty-second annual ACM-SIAM symposium on Discrete Algorithms
Proceedings of the twenty-second annual ACM-SIAM symposium on Discrete Algorithms
Improved approximation results for stochastic knapsack problems
Proceedings of the twenty-second annual ACM-SIAM symposium on Discrete Algorithms
ACM Transactions on Architecture and Code Optimization (TACO)
Algorithmic and user study of an autocompletion algorithm on a large medical vocabulary
Journal of Biomedical Informatics
Information Sciences: an International Journal
Theoretical Computer Science
Complexity of minimal tree routing and coloring
AAIM'05 Proceedings of the First international conference on Algorithmic Applications in Management
Energy efficient broadcasting and multicasting in static wireless ad hoc networks
AAIM'05 Proceedings of the First international conference on Algorithmic Applications in Management
Semidefinite programming based approaches to home-away assignment problems in sports scheduling
AAIM'05 Proceedings of the First international conference on Algorithmic Applications in Management
Computation of arbitrage in a financial market with various types of frictions
AAIM'05 Proceedings of the First international conference on Algorithmic Applications in Management
Assign ranges in general ad-hoc networks
AAIM'05 Proceedings of the First international conference on Algorithmic Applications in Management
Hardness of optimal spaced seed design
CPM'05 Proceedings of the 16th annual conference on Combinatorial Pattern Matching
Reducing the size of NFAs by using equivalences and preorders
CPM'05 Proceedings of the 16th annual conference on Combinatorial Pattern Matching
Inferring a graph from path frequency
CPM'05 Proceedings of the 16th annual conference on Combinatorial Pattern Matching
Clustering based on principal curve
SCIA'05 Proceedings of the 14th Scandinavian conference on Image Analysis
Discovering re-usable design solutions in web conceptual schemas: metrics and methodology
ICWE'05 Proceedings of the 5th international conference on Web Engineering
Quadratic programming and combinatorial minimum weight product problems
CIAC'06 Proceedings of the 6th Italian conference on Algorithms and Complexity
Counting all solutions of minimum weight exact satisfiability
CIAC'06 Proceedings of the 6th Italian conference on Algorithms and Complexity
Tighter approximation bounds for LPT scheduling in two special cases
CIAC'06 Proceedings of the 6th Italian conference on Algorithms and Complexity
CIAC'06 Proceedings of the 6th Italian conference on Algorithms and Complexity
An approximation algorithm for a bottleneck traveling salesman problem
CIAC'06 Proceedings of the 6th Italian conference on Algorithms and Complexity
The linear arrangement problem parameterized above guaranteed value
CIAC'06 Proceedings of the 6th Italian conference on Algorithms and Complexity
Learning stochastic logical automaton
JSAI'05 Proceedings of the 2005 international conference on New Frontiers in Artificial Intelligence
On generalization and subsumption for ordered clauses
JSAI'05 Proceedings of the 2005 international conference on New Frontiers in Artificial Intelligence
Delegate and conquer: an LP-based approximation algorithm for minimum degree MSTs
ICALP'06 Proceedings of the 33rd international conference on Automata, Languages and Programming - Volume Part I
Coloring random 3-colorable graphs with non-uniform edge probabilities
MFCS'06 Proceedings of the 31st international conference on Mathematical Foundations of Computer Science
Improved parameterized upper bounds for vertex cover
MFCS'06 Proceedings of the 31st international conference on Mathematical Foundations of Computer Science
Optimal linear arrangement of interval graphs
MFCS'06 Proceedings of the 31st international conference on Mathematical Foundations of Computer Science
On matroid representability and minor problems
MFCS'06 Proceedings of the 31st international conference on Mathematical Foundations of Computer Science
Generalised integer programming based on logically defined relations
MFCS'06 Proceedings of the 31st international conference on Mathematical Foundations of Computer Science
Querying and embedding compressed texts
MFCS'06 Proceedings of the 31st international conference on Mathematical Foundations of Computer Science
MFCS'06 Proceedings of the 31st international conference on Mathematical Foundations of Computer Science
Boundary labeling: models and efficient algorithms for rectangular maps
GD'04 Proceedings of the 12th international conference on Graph Drawing
GD'04 Proceedings of the 12th international conference on Graph Drawing
The three dimensional logic engine
GD'04 Proceedings of the 12th international conference on Graph Drawing
3D visualization of semantic metadata models and ontologies
GD'04 Proceedings of the 12th international conference on Graph Drawing
Visualisation of large and complex networks using polyplane
GD'04 Proceedings of the 12th international conference on Graph Drawing
Multiobjective EA approach for improved quality of solutions for spanning tree problem
EMO'05 Proceedings of the Third international conference on Evolutionary Multi-Criterion Optimization
MMAS'04 Proceedings of the First international conference on Massively Multi-Agent Systems
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part III
A quantum-inspired genetic algorithm for scheduling problems
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part III
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part III
Clone selection based multicast routing algorithm
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part III
Introduction to inconsistency tolerance
Inconsistency Tolerance
On the complexity of paraconsistent inference relations
Inconsistency Tolerance
Frequency concepts and pattern detection for the analysis of motifs in networks
Transactions on Computational Systems Biology III
A local fusion method of temporal information
ECSQARU'05 Proceedings of the 8th European conference on Symbolic and Quantitative Approaches to Reasoning with Uncertainty
Image segmentation based on genetic algorithms combination
ICIAP'05 Proceedings of the 13th international conference on Image Analysis and Processing
MFCS'05 Proceedings of the 30th international conference on Mathematical Foundations of Computer Science
Finding exact and maximum occurrences of protein complexes in protein-protein interaction graphs
MFCS'05 Proceedings of the 30th international conference on Mathematical Foundations of Computer Science
An exact 2.9416n algorithm for the three domatic number problem
MFCS'05 Proceedings of the 30th international conference on Mathematical Foundations of Computer Science
Energy-Efficient connected coverage of discrete targets in wireless sensor networks
ICCNMC'05 Proceedings of the Third international conference on Networking and Mobile Computing
Minimum disc cover set construction in mobile ad hoc networks
ICCNMC'05 Proceedings of the Third international conference on Networking and Mobile Computing
Fast convex closure for efficient predicate detection
Euro-Par'05 Proceedings of the 11th international Euro-Par conference on Parallel Processing
Euro-Par'05 Proceedings of the 11th international Euro-Par conference on Parallel Processing
Topology-Based hypercube structures for global communication in heterogeneous networks
Euro-Par'05 Proceedings of the 11th international Euro-Par conference on Parallel Processing
A novel approach to fault tolerant multichannel networks designing problems
Annales UMCS, Informatica
Efficient cryptanalysis of RSE(2)PKC and RSSE(2)PKC
SCN'04 Proceedings of the 4th international conference on Security in Communication Networks
A study of the security of unbalanced oil and vinegar signature schemes
CT-RSA'05 Proceedings of the 2005 international conference on Topics in Cryptology
A direct algorithm to find a largest common connected induced subgraph of two graphs
GbRPR'05 Proceedings of the 5th IAPR international conference on Graph-Based Representations in Pattern Recognition
IWINAC'05 Proceedings of the First international work-conference on the Interplay Between Natural and Artificial Computation conference on Artificial Intelligence and Knowledge Engineering Applications: a bioinspired approach - Volume Part II
Timed accepting hybrid networks of evolutionary processors
IWINAC'05 Proceedings of the First international work-conference on the Interplay Between Natural and Artificial Computation conference on Artificial Intelligence and Knowledge Engineering Applications: a bioinspired approach - Volume Part II
Using bees to solve a data-mining problem expressed as a max-sat one
IWINAC'05 Proceedings of the First international work-conference on the Interplay Between Natural and Artificial Computation conference on Artificial Intelligence and Knowledge Engineering Applications: a bioinspired approach - Volume Part II
Approximation problems categories
EUROCAST'05 Proceedings of the 10th international conference on Computer Aided Systems Theory
A hybrid quantum-inspired genetic algorithm for flow shop scheduling
ICIC'05 Proceedings of the 2005 international conference on Advances in Intelligent Computing - Volume Part II
Condensed nearest neighbor data domain description
IDA'05 Proceedings of the 6th international conference on Advances in Intelligent Data Analysis
Vertex-arboricity of planar graphs without intersecting triangles
European Journal of Combinatorics
Coloring Kk-free intersection graphs of geometric objects in the plane
European Journal of Combinatorics
A note on sorting buffers offline
Theoretical Computer Science
On the NP-completeness of the perfect matching free subgraph problem
Theoretical Computer Science
network discovery and verification
WG'05 Proceedings of the 31st international conference on Graph-Theoretic Concepts in Computer Science
The computational complexity of delay management
WG'05 Proceedings of the 31st international conference on Graph-Theoretic Concepts in Computer Science
Scheduling transportation events with grouping genetic algorithms and the heuristic DJD
MICAI'05 Proceedings of the 4th Mexican international conference on Advances in Artificial Intelligence
Overview of metaheuristics methods in compilation
MICAI'05 Proceedings of the 4th Mexican international conference on Advances in Artificial Intelligence
A comparison of memetic recombination operators for the MinLA problem
MICAI'05 Proceedings of the 4th Mexican international conference on Advances in Artificial Intelligence
Job scheduling techniques for distributed systems with temporal constraints
GPC'10 Proceedings of the 5th international conference on Advances in Grid and Pervasive Computing
Replica-Aware job scheduling in distributed systems
GPC'10 Proceedings of the 5th international conference on Advances in Grid and Pervasive Computing
Online scheduling of workflow applications in grid environment
GPC'10 Proceedings of the 5th international conference on Advances in Grid and Pervasive Computing
L(2, 1, 1)-labeling is NP-complete for trees
TAMC'10 Proceedings of the 7th annual conference on Theory and Applications of Models of Computation
Complexity of paths, trails and circuits in arc-colored digraphs
TAMC'10 Proceedings of the 7th annual conference on Theory and Applications of Models of Computation
GTRACE2: improving performance using labeled union graphs
PAKDD'10 Proceedings of the 14th Pacific-Asia conference on Advances in Knowledge Discovery and Data Mining - Volume Part II
A knowledge-based ant colony optimization for a grid workflow scheduling problem
ICSI'10 Proceedings of the First international conference on Advances in Swarm Intelligence - Volume Part I
Efficient manipulation of disequalities during dependence analysis
LCPC'02 Proceedings of the 15th international conference on Languages and Compilers for Parallel Computing
An effective placement of detection systems for distributed attack detection in large scale networks
WISA'04 Proceedings of the 5th international conference on Information Security Applications
Approximation schemes for deal splitting and covering integer programs with multiplicity constraints
WAOA'04 Proceedings of the Second international conference on Approximation and Online Algorithms
Pricing network edges to cross a river
WAOA'04 Proceedings of the Second international conference on Approximation and Online Algorithms
A 5/4-approximation algorithm for biconnecting a graph with a given hamiltonian path
WAOA'04 Proceedings of the Second international conference on Approximation and Online Algorithms
Off-line admission control for advance reservations in star networks
WAOA'04 Proceedings of the Second international conference on Approximation and Online Algorithms
Strong colorings of hypergraphs
WAOA'04 Proceedings of the Second international conference on Approximation and Online Algorithms
An approach to computational complexity in membrane computing
WMC'04 Proceedings of the 5th international conference on Membrane Computing
Conservative computations in energy–based p systems
WMC'04 Proceedings of the 5th international conference on Membrane Computing
On constructing internet-scale p2p information retrieval systems
DBISP2P'04 Proceedings of the Second international conference on Databases, Information Systems, and Peer-to-Peer Computing
Internet computing of tasks with dependencies using unreliable workers
OPODIS'04 Proceedings of the 8th international conference on Principles of Distributed Systems
Determinacy analysis for logic programs using mode and type information
LOPSTR'04 Proceedings of the 14th international conference on Logic Based Program Synthesis and Transformation
Approximation algorithms for forests augmentation ensuring two disjoint paths of bounded length
WADS'05 Proceedings of the 9th international conference on Algorithms and Data Structures
Power-saving scheduling for weakly dynamic voltage scaling devices
WADS'05 Proceedings of the 9th international conference on Algorithms and Data Structures
Comparing parallelization of an ACO: message passing vs. shared memory
HM'05 Proceedings of the Second international conference on Hybrid Metaheuristics
Reaction motifs in metabolic networks
WABI'05 Proceedings of the 5th International conference on Algorithms in Bioinformatics
Faster algorithms for optimal multiple sequence alignment based on pairwise comparisons
WABI'05 Proceedings of the 5th International conference on Algorithms in Bioinformatics
On the intractability of inverting geometric distortions in watermarking schemes
IH'05 Proceedings of the 7th international conference on Information Hiding
Workload analysis of a cluster in a grid environment
JSSPP'05 Proceedings of the 11th international conference on Job Scheduling Strategies for Parallel Processing
JSSPP'05 Proceedings of the 11th international conference on Job Scheduling Strategies for Parallel Processing
Load distribution for distributed stream processing
EDBT'04 Proceedings of the 2004 international conference on Current Trends in Database Technology
Leveraging public resource pools to improve the service compliances of computing utilities
HiPC'04 Proceedings of the 11th international conference on High Performance Computing
HiPC'04 Proceedings of the 11th international conference on High Performance Computing
Multicriteria global minimum cuts
ISAAC'04 Proceedings of the 15th international conference on Algorithms and Computation
Poly-APX- and PTAS-Completeness in standard and differential approximation
ISAAC'04 Proceedings of the 15th international conference on Algorithms and Computation
On nash equilibria for multicast transmissions in ad-hoc wireless networks
ISAAC'04 Proceedings of the 15th international conference on Algorithms and Computation
An efficient algorithm for finding maximum cycle packings in reducible flow graphs
ISAAC'04 Proceedings of the 15th international conference on Algorithms and Computation
Minimum common string partition problem: hardness and approximations
ISAAC'04 Proceedings of the 15th international conference on Algorithms and Computation
On the complexity of network synchronization
ISAAC'04 Proceedings of the 15th international conference on Algorithms and Computation
The maximum agreement of two nested phylogenetic networks
ISAAC'04 Proceedings of the 15th international conference on Algorithms and Computation
Approximation algorithms for the consecutive ones submatrix problem on sparse matrices
ISAAC'04 Proceedings of the 15th international conference on Algorithms and Computation
Efficient algorithms for the longest path problem
ISAAC'04 Proceedings of the 15th international conference on Algorithms and Computation
Weighted coloring on planar, bipartite and split graphs: complexity and improved approximation
ISAAC'04 Proceedings of the 15th international conference on Algorithms and Computation
Framework for safe reuse of software binaries
ICDCIT'04 Proceedings of the First international conference on Distributed Computing and Internet Technology
A comparative study of two density-based spatial clustering algorithms for very large datasets
AI'05 Proceedings of the 18th Canadian Society conference on Advances in Artificial Intelligence
AMF configurations: checking for service protection using heuristics
Proceedings of the 7th International Conference on Network and Services Management
Operator placement with QoS constraints for distributed stream processing
Proceedings of the 7th International Conference on Network and Services Management
Manipulating the quota in weighted voting games
Artificial Intelligence
An enhanced beam search algorithm for the Shortest Common Supersequence Problem
Engineering Applications of Artificial Intelligence
Bicolored independent sets and bicliques
Information Processing Letters
Effective algorithm for calculating spatial deformations of pre-stressed concrete beams
LSSC'09 Proceedings of the 7th international conference on Large-Scale Scientific Computing
Computing with a distributed reaction-diffusion model
MCU'04 Proceedings of the 4th international conference on Machines, Computations, and Universality
Bipartite graphs as models of complex networks
CAAN'04 Proceedings of the First international conference on Combinatorial and Algorithmic Aspects of Networking
A study of an iterated local search on the reliable communication networks design problem
EC'05 Proceedings of the 3rd European conference on Applications of Evolutionary Computing
ODBASE'06/OTM'06 Proceedings of the 2006 Confederated international conference on On the Move to Meaningful Internet Systems: CoopIS, DOA, GADA, and ODBASE - Volume Part II
Optimal task assignment in multithreaded processors: a statistical approach
ASPLOS XVII Proceedings of the seventeenth international conference on Architectural Support for Programming Languages and Operating Systems
A hierarchical reliability-driven scheduling algorithm in grid systems
Journal of Parallel and Distributed Computing
Hamiltonian transition matrices
Proceedings of the 5th International ICST Conference on Performance Evaluation Methodologies and Tools
RIBRA–an error-tolerant algorithm for the NMR backbone assignment problem
RECOMB'05 Proceedings of the 9th Annual international conference on Research in Computational Molecular Biology
Improved recombination lower bounds for haplotype data
RECOMB'05 Proceedings of the 9th Annual international conference on Research in Computational Molecular Biology
Graph partitioning via recurrent multivalued neural networks
IWANN'05 Proceedings of the 8th international conference on Artificial Neural Networks: computational Intelligence and Bioinspired Systems
Clustering-Based distributed precomputation for quality-of-service routing
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part II
What makes the arc-preserving subsequence problem hard?
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part II
ISSADS'05 Proceedings of the 5th international conference on Advanced Distributed Systems
A fast technique for constructing evolutionary tree with the application of compact sets
PaCT'05 Proceedings of the 8th international conference on Parallel Computing Technologies
On the complexity of uniformly mixed nash equilibria and related regular subgraph problems
FCT'05 Proceedings of the 15th international conference on Fundamentals of Computation Theory
Algorithms for graphs embeddable with few crossings per edge
FCT'05 Proceedings of the 15th international conference on Fundamentals of Computation Theory
Approximation results for the weighted P4 partition problems
FCT'05 Proceedings of the 15th international conference on Fundamentals of Computation Theory
The maximum resource bin packing problem
FCT'05 Proceedings of the 15th international conference on Fundamentals of Computation Theory
On finding acyclic subhypergraphs
FCT'05 Proceedings of the 15th international conference on Fundamentals of Computation Theory
Preparatory knowledge: propaedeutic in informatics
ISSEP'05 Proceedings of the 2005 Informatics in Secondary Schools - Evolution and Perspectives international conference on From Computer Literacy to Informatics Fundamentals
Model checking durational probabilistic systems
FOSSACS'05 Proceedings of the 8th international conference on Foundations of Software Science and Computation Structures
NP-Completeness of deciding binary genetic encodability
FOGA'05 Proceedings of the 8th international conference on Foundations of Genetic Algorithms
Running time analysis of a multiobjective evolutionary algorithm on simple and hard problems
FOGA'05 Proceedings of the 8th international conference on Foundations of Genetic Algorithms
Simple, effective code-size reduction for functional programs
IFL'04 Proceedings of the 16th international conference on Implementation and Application of Functional Languages
A fast cryptanalysis of the isomorphism of polynomials with one secret problem
EUROCRYPT'05 Proceedings of the 24th annual international conference on Theory and Applications of Cryptographic Techniques
On symbolic scheduling independent tasks with restricted execution times
WEA'05 Proceedings of the 4th international conference on Experimental and Efficient Algorithms
GRASP with path-relinking for the weighted maximum satisfiability problem
WEA'05 Proceedings of the 4th international conference on Experimental and Efficient Algorithms
Efficient and experimental meta-heuristics for MAX-SAT problems
WEA'05 Proceedings of the 4th international conference on Experimental and Efficient Algorithms
Vertex cover approximations: experiments and observations
WEA'05 Proceedings of the 4th international conference on Experimental and Efficient Algorithms
Stable bounded canonical sets and image matching
EMMCVPR'05 Proceedings of the 5th international conference on Energy Minimization Methods in Computer Vision and Pattern Recognition
Isomorphism for graphs of bounded feedback vertex set number
SWAT'10 Proceedings of the 12th Scandinavian conference on Algorithm Theory
A 3/2-approximation algorithm for multiple depot multiple traveling salesman problem
SWAT'10 Proceedings of the 12th Scandinavian conference on Algorithm Theory
Capacitated max -batching with interval graph compatibilities
SWAT'10 Proceedings of the 12th Scandinavian conference on Algorithm Theory
Bin packing with fixed number of bins revisited
SWAT'10 Proceedings of the 12th Scandinavian conference on Algorithm Theory
Cops and robber game without recharging
SWAT'10 Proceedings of the 12th Scandinavian conference on Algorithm Theory
Faster parameterized algorithms for minor containment
SWAT'10 Proceedings of the 12th Scandinavian conference on Algorithm Theory
Unification in a class of permutative theories
RTA'05 Proceedings of the 16th international conference on Term Rewriting and Applications
Free-riders in steiner tree cost-sharing games
SIROCCO'05 Proceedings of the 12th international conference on Structural Information and Communication Complexity
Hypergraph partitioning for faster parallel pagerank computation
EPEW'05/WS-FM'05 Proceedings of the 2005 international conference on European Performance Engineering, and Web Services and Formal Methods, international conference on Formal Techniques for Computer Systems and Business Processes
Efficient scratchpad allocation algorithms for energy constrained embedded systems
PACS'03 Proceedings of the Third international conference on Power - Aware Computer Systems
CIAA'04 Proceedings of the 9th international conference on Implementation and Application of Automata
On the complexity of hilbert’s 17th problem
FSTTCS'04 Proceedings of the 24th international conference on Foundations of Software Technology and Theoretical Computer Science
Complexity of linear connectivity problems in directed hypergraphs
FSTTCS'04 Proceedings of the 24th international conference on Foundations of Software Technology and Theoretical Computer Science
Some new directions and questions in parameterized complexity
DLT'04 Proceedings of the 8th international conference on Developments in Language Theory
The computational complexity of the minimum weight processor assignment problem
WG'04 Proceedings of the 30th international conference on Graph-Theoretic Concepts in Computer Science
Exact (exponential) algorithms for the dominating set problem
WG'04 Proceedings of the 30th international conference on Graph-Theoretic Concepts in Computer Science
Linear kernels in linear time, or how to save k colors in O(n2) steps
WG'04 Proceedings of the 30th international conference on Graph-Theoretic Concepts in Computer Science
Partitioning a weighted graph to connected subgraphs of almost uniform size
WG'04 Proceedings of the 30th international conference on Graph-Theoretic Concepts in Computer Science
The hypocoloring problem: complexity and approximability results when the chromatic number is small
WG'04 Proceedings of the 30th international conference on Graph-Theoretic Concepts in Computer Science
Eliminating redundant tests in a checking sequence
TestCom'05 Proceedings of the 17th IFIP TC6/WG 6.1 international conference on Testing of Communicating Systems
All in the XL family: theory and practice
ICISC'04 Proceedings of the 7th international conference on Information Security and Cryptology
An inverse approach to convex ordered median problems in trees
Journal of Combinatorial Optimization
Packing cycles exactly in polynomial time
Journal of Combinatorial Optimization
The complexity of VLSI power-delay optimization by interconnect resizing
Journal of Combinatorial Optimization
Journal of Combinatorial Optimization
Analysis of integer programming algorithms with L-partition and unimodular transformations
Automation and Remote Control
Dynamic programming for the quadratic assignment problem on trees
Automation and Remote Control
Automation and Remote Control
Winner determination in voting trees with incomplete preferences and weighted votes
Autonomous Agents and Multi-Agent Systems
Multiagent task allocation in social networks
Autonomous Agents and Multi-Agent Systems
Optimization Methods for Post-Bond Testing of 3D Stacked ICs
Journal of Electronic Testing: Theory and Applications
Crossover can provably be useful in evolutionary computation
Theoretical Computer Science
The connected p-center problem on block graphs with forbidden vertices
Theoretical Computer Science
Inverse Hamiltonian Cycle and inverse 3Dimensional Matching are coNP-complete
Theoretical Computer Science
Comparative analysis of protein networks: hard problems, practical solutions
Communications of the ACM
Integrated fluidic-chip co-design methodology for digital microfluidic biochips
Proceedings of the 2012 ACM international symposium on International Symposium on Physical Design
Journal of Discrete Algorithms
On the complexity of the bondage and reinforcement problems
Journal of Complexity
A strengthened analysis of an algorithm for Dominating Set in planar graphs
Discrete Applied Mathematics
Graphs of separability at most 2
Discrete Applied Mathematics
On graph contractions and induced minors
Discrete Applied Mathematics
Delay Management with Rerouting of Passengers
Transportation Science
Resilience: An Indicator of Recovery Capability in Intermodal Freight Transport
Transportation Science
Asymptotically optimal solutions for small world graphs
DISC'05 Proceedings of the 19th international conference on Distributed Computing
Greedy localization and color-coding: improved matching and packing algorithms
IWPEC'06 Proceedings of the Second international conference on Parameterized and Exact Computation
Fixed-parameter approximation: conceptual framework and approximability results
IWPEC'06 Proceedings of the Second international conference on Parameterized and Exact Computation
Parameterized approximation problems
IWPEC'06 Proceedings of the Second international conference on Parameterized and Exact Computation
An exact algorithm for the minimum dominating clique problem
IWPEC'06 Proceedings of the Second international conference on Parameterized and Exact Computation
Fixed parameter tractability of independent set in segment intersection graphs
IWPEC'06 Proceedings of the Second international conference on Parameterized and Exact Computation
The undirected feedback vertex set problem has a poly(k) kernel
IWPEC'06 Proceedings of the Second international conference on Parameterized and Exact Computation
The parameterized complexity of enumerating frequent itemsets
IWPEC'06 Proceedings of the Second international conference on Parameterized and Exact Computation
Stressing is better than relaxing for negative cost cycle detection in networks
ADHOC-NOW'05 Proceedings of the 4th international conference on Ad-Hoc, Mobile, and Wireless Networks
The routing open shop problem: new approximation algorithms
WAOA'09 Proceedings of the 7th international conference on Approximation and Online Algorithms
A (4+ε)-approximation for the minimum-weight dominating set problem in unit disk graphs
WAOA'09 Proceedings of the 7th international conference on Approximation and Online Algorithms
Between a rock and a hard place: the two-to-one assignment problem
WAOA'09 Proceedings of the 7th international conference on Approximation and Online Algorithms
Scheduling and packing malleable tasks with precedence constraints of bounded width
WAOA'09 Proceedings of the 7th international conference on Approximation and Online Algorithms
Packet routing: complexity and algorithms
WAOA'09 Proceedings of the 7th international conference on Approximation and Online Algorithms
Competitive multi-dimensional dynamic bin packing via l-shape bin packing
WAOA'09 Proceedings of the 7th international conference on Approximation and Online Algorithms
Algebraic cryptanalysis of the PKC’2009 algebraic surface cryptosystem
PKC'10 Proceedings of the 13th international conference on Practice and Theory in Public Key Cryptography
Approximating the minimum length of synchronizing words is hard
CSR'10 Proceedings of the 5th international conference on Computer Science: theory and Applications
Frameworks for logically classifying polynomial-time optimisation problems
CSR'10 Proceedings of the 5th international conference on Computer Science: theory and Applications
New generic algorithms for hard knapsacks
EUROCRYPT'10 Proceedings of the 29th Annual international conference on Theory and Applications of Cryptographic Techniques
Complexity results for linear XSAT-Problems
SAT'10 Proceedings of the 13th international conference on Theory and Applications of Satisfiability Testing
Performance evaluation of recurrent RBF network in nearest neighbor classification
TAINN'05 Proceedings of the 14th Turkish conference on Artificial Intelligence and Neural Networks
A general framework for coloring problems: old results, new results, and open problems
IJCCGGT'03 Proceedings of the 2003 Indonesia-Japan joint conference on Combinatorial Geometry and Graph Theory
ANNPR'06 Proceedings of the Second international conference on Artificial Neural Networks in Pattern Recognition
Constant-factor approximation for minimum-weight (connected) dominating sets in unit disk graphs
APPROX'06/RANDOM'06 Proceedings of the 9th international conference on Approximation Algorithms for Combinatorial Optimization Problems, and 10th international conference on Randomization and Computation
On the value of preemption in scheduling
APPROX'06/RANDOM'06 Proceedings of the 9th international conference on Approximation Algorithms for Combinatorial Optimization Problems, and 10th international conference on Randomization and Computation
Weighted sum coloring in batch scheduling of conflicting jobs
APPROX'06/RANDOM'06 Proceedings of the 9th international conference on Approximation Algorithms for Combinatorial Optimization Problems, and 10th international conference on Randomization and Computation
Using hajós' construction to generate hard graph 3-colorability instances
AISC'06 Proceedings of the 8th international conference on Artificial Intelligence and Symbolic Computation
A dichotomy theorem for typed constraint satisfaction problems
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
On solving the partial MAX-SAT problem
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
DNA-based evolutionary algorithm for cable trench problem
KES'06 Proceedings of the 10th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part III
Inferring gene orders from gene maps using the breakpoint distance
RCG'06 Proceedings of the RECOMB 2006 international conference on Comparative Genomics
Hard counting problems for partial words
LATA'10 Proceedings of the 4th international conference on Language and Automata Theory and Applications
Incremental building in peptide computing to solve hamiltonian path problem
LATA'10 Proceedings of the 4th international conference on Language and Automata Theory and Applications
“Master-slave” biological network alignment
ISBRA'10 Proceedings of the 6th international conference on Bioinformatics Research and Applications
PQCrypto'10 Proceedings of the Third international conference on Post-Quantum Cryptography
Time complexity of decision trees
Transactions on Rough Sets III
What makes the arc-preserving subsequence problem hard?
Transactions on Computational Systems Biology II
Approximate boolean reasoning: foundations and applications in data mining
Transactions on Rough Sets V
Covering analysis of the greedy algorithm for partial cover
Algorithms and Applications
Approximate string matching with reduced alphabet
Algorithms and Applications
Algorithms and Applications
A survey of approximation results for local search algorithms
Efficient Approximation and Online Algorithms
Approximation algorithms for edge-disjoint paths and unsplittable flow
Efficient Approximation and Online Algorithms
Theoretical Computer Science
Theoretical Computer Science
On teaching the basics of complexity theory
Theoretical Computer Science
MPLS inter domain services routing architecture and model based on p2p semantic grid
GPC'06 Proceedings of the First international conference on Advances in Grid and Pervasive Computing
Attribute value reordering for efficient hybrid OLAP
Information Sciences: an International Journal
Algorithms of discrete optimization and their application to problems with fuzzy coefficients
Information Sciences: an International Journal
A randomized PTAS for the minimum Consensus Clustering with a fixed number of clusters
Theoretical Computer Science
Polynomial graph transformability
Theoretical Computer Science
Watchman tours for polygons with holes
Computational Geometry: Theory and Applications
Removing local extrema from imprecise terrains
Computational Geometry: Theory and Applications
Cooperative location games based on the minimum diameter spanning Steiner subgraph problem
Discrete Applied Mathematics
Edge contractions in subclasses of chordal graphs
Discrete Applied Mathematics
Approximation algorithms for a geometric set cover problem
Discrete Applied Mathematics
Discrete Applied Mathematics
An attack on a modified niederreiter encryption scheme
PKC'06 Proceedings of the 9th international conference on Theory and Practice of Public-Key Cryptography
SAT-Based verification methods and applications in hardware verification
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
Partitioning based algorithms for some colouring problems
CSCLP'05 Proceedings of the 2005 Joint ERCIM/CoLogNET international conference on Constraint Solving and Constraint Logic Programming
Two-Server network disconnection problem
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part III
Multicast ω-trees based on statistical analysis
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part III
Genomes containing duplicates are hard to compare
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part II
Polynomial equivalence problems: algorithmic and theoretical aspects
EUROCRYPT'06 Proceedings of the 24th annual international conference on The Theory and Applications of Cryptographic Techniques
Computational aspects of digital plane and hyperplane recognition
IWCIA'06 Proceedings of the 11th international conference on Combinatorial Image Analysis
Graph theory for rule-based modeling of biochemical networks
Transactions on Computational Systems Biology VII
Playing savitch and cooking games
Concurrency, Compositionality, and Correctness
Inverse HAMILTONIAN CYCLE and inverse 3-d MATCHING are coNP-Complete
ISAAC'06 Proceedings of the 17th international conference on Algorithms and Computation
Parameterized problems on coincidence graphs
ISAAC'06 Proceedings of the 17th international conference on Algorithms and Computation
Exact algorithms for finding the minimum independent dominating set in graphs
ISAAC'06 Proceedings of the 17th international conference on Algorithms and Computation
Shape rectangularization problems in intensity-modulated radiation therapy
ISAAC'06 Proceedings of the 17th international conference on Algorithms and Computation
Provably secure steganography and the complexity of sampling
ISAAC'06 Proceedings of the 17th international conference on Algorithms and Computation
AI'06 Proceedings of the 19th Australian joint conference on Artificial Intelligence: advances in Artificial Intelligence
Approximation algorithms for scheduling problems with exact delays
WAOA'06 Proceedings of the 4th international conference on Approximation and Online Algorithms
On the minimum corridor connection problem and other generalized geometric problems
WAOA'06 Proceedings of the 4th international conference on Approximation and Online Algorithms
Improved approximation bounds for edge dominating set in dense graphs
WAOA'06 Proceedings of the 4th international conference on Approximation and Online Algorithms
WAOA'06 Proceedings of the 4th international conference on Approximation and Online Algorithms
Competitive online multicommodity routing
WAOA'06 Proceedings of the 4th international conference on Approximation and Online Algorithms
The k-allocation problem and its variants
WAOA'06 Proceedings of the 4th international conference on Approximation and Online Algorithms
Approximation algorithms for multi-criteria traveling salesman problems
WAOA'06 Proceedings of the 4th international conference on Approximation and Online Algorithms
Not-First and not-last detection for cumulative scheduling in O(n3 log n)
INAP'05 Proceedings of the 16th international conference on Applications of Declarative Programming and Knowledge Management
Splitting clusters to get c-planarity
GD'09 Proceedings of the 17th international conference on Graph Drawing
Manhattan-Geodesic embedding of planar graphs
GD'09 Proceedings of the 17th international conference on Graph Drawing
Approximation algorithms for art gallery problems in polygons and terrains
WALCOM'10 Proceedings of the 4th international conference on Algorithms and Computation
Harmonious coloring on subclasses of colinear graphs
WALCOM'10 Proceedings of the 4th international conference on Algorithms and Computation
WALCOM'10 Proceedings of the 4th international conference on Algorithms and Computation
The covert set-cover problem with application to network discovery
WALCOM'10 Proceedings of the 4th international conference on Algorithms and Computation
A computational complexity theory in membrane computing
WMC'09 Proceedings of the 10th international conference on Membrane Computing
Evolving by maximizing the number of rules: complexity study
WMC'09 Proceedings of the 10th international conference on Membrane Computing
Solving NP-Complete problems by spiking neural p systems with budding rules
WMC'09 Proceedings of the 10th international conference on Membrane Computing
Certifying algorithms for the path cover and related problems on interval graphs
ICCSA'10 Proceedings of the 2010 international conference on Computational Science and Its Applications - Volume Part II
Efficient algorithms for the 2-center problems
ICCSA'10 Proceedings of the 2010 international conference on Computational Science and Its Applications - Volume Part II
A study of memetic search with multi-parent combination for UBQP
EvoCOP'10 Proceedings of the 10th European conference on Evolutionary Computation in Combinatorial Optimization
Efficient cycle search for the minimum routing cost spanning tree problem
EvoCOP'10 Proceedings of the 10th European conference on Evolutionary Computation in Combinatorial Optimization
Particle swarm optimization and an agent-based algorithm for a problem of staff scheduling
EvoCOMNET'10 Proceedings of the 2010 international conference on Applications of Evolutionary Computation - Volume Part II
Fast algorithms for min independent dominating set
SIROCCO'10 Proceedings of the 17th international conference on Structural Information and Communication Complexity
Maximizing pagerank with new backlinks
CIAC'10 Proceedings of the 7th international conference on Algorithms and Complexity
Polynomial space algorithms for counting dominating sets and the domatic number
CIAC'10 Proceedings of the 7th international conference on Algorithms and Complexity
On the power of nodes of degree four in the local max-cut problem
CIAC'10 Proceedings of the 7th international conference on Algorithms and Complexity
Packing bipartite graphs with covers of complete bipartite graphs
CIAC'10 Proceedings of the 7th international conference on Algorithms and Complexity
The complexity of computing minimal unidirectional covering sets
CIAC'10 Proceedings of the 7th international conference on Algorithms and Complexity
Capacitated confluent flows: complexity and algorithms
CIAC'10 Proceedings of the 7th international conference on Algorithms and Complexity
ICONIP'11 Proceedings of the 18th international conference on Neural Information Processing - Volume Part II
Algorithmic aspects of dominator colorings in graphs
IWOCA'11 Proceedings of the 22nd international conference on Combinatorial Algorithms
Complexity of cycle transverse matching problems
IWOCA'11 Proceedings of the 22nd international conference on Combinatorial Algorithms
Hamiltonian orthogeodesic alternating paths
IWOCA'11 Proceedings of the 22nd international conference on Combinatorial Algorithms
Solving the two-dimensional bin packing problem with a probabilistic multi-start heuristic
LION'05 Proceedings of the 5th international conference on Learning and Intelligent Optimization
Improving the performance of heuristic algorithms based on causal inference
MICAI'11 Proceedings of the 10th Mexican international conference on Advances in Artificial Intelligence - Volume Part I
Algorithms for the maximum hamming distance problem
CSCLP'04 Proceedings of the 2004 joint ERCIM/CoLOGNET international conference on Recent Advances in Constraints
AAMAS'04 Proceedings of the 6th AAMAS international conference on Agent-Mediated Electronic Commerce: theories for and Engineering of Distributed Mechanisms and Systems
iAuctionMaker: a decision support tool for mixed bundling
AAMAS'04 Proceedings of the 6th AAMAS international conference on Agent-Mediated Electronic Commerce: theories for and Engineering of Distributed Mechanisms and Systems
Polynomial algorithm for parallel machine mean flow time scheduling problem with release dates
ICCSA'05 Proceedings of the 2005 international conference on Computational Science and Its Applications - Volume Part IV
Differential approximation of MIN SAT, MAX SAT and related problems
ICCSA'05 Proceedings of the 2005 international conference on Computational Science and Its Applications - Volume Part IV
Probabilistic coloring of bipartite and split graphs
ICCSA'05 Proceedings of the 2005 international conference on Computational Science and Its Applications - Volume Part IV
Regrouping service sites: a genetic approach using a voronoi diagram
ICCSA'05 Proceedings of the 2005 international conference on Computational Science and Its Applications - Volume Part IV
On algorithm for efficiently combining two independent measures in routing paths
ICCSA'05 Proceedings of the 2005 international conference on Computational Science and Its Applications - Volume Part IV
Accepting networks of splicing processors
CiE'05 Proceedings of the First international conference on Computability in Europe: new Computational Paradigms
A column generation scheme for faculty timetabling
PATAT'04 Proceedings of the 5th international conference on Practice and Theory of Automated Timetabling
A tiling algorithm for high school timetabling
PATAT'04 Proceedings of the 5th international conference on Practice and Theory of Automated Timetabling
A novel similarity measure for heuristic selection in examination timetabling
PATAT'04 Proceedings of the 5th international conference on Practice and Theory of Automated Timetabling
DSOM'05 Proceedings of the 16th IFIP/IEEE Ambient Networks international conference on Distributed Systems: operations and Management
Efficient scheduling strategies for web services-based e-business transactions
TES'05 Proceedings of the 6th international conference on Technologies for E-Services
Counting pseudo-intents and #p-completeness
ICFCA'06 Proceedings of the 4th international conference on Formal Concept Analysis
The computational complexity of the parallel knock-out problem
LATIN'06 Proceedings of the 7th Latin American conference on Theoretical Informatics
Reconfigurations in graphs and grids
LATIN'06 Proceedings of the 7th Latin American conference on Theoretical Informatics
Maximizing throughput in queueing networks with limited flexibility
LATIN'06 Proceedings of the 7th Latin American conference on Theoretical Informatics
I/O-Efficient algorithms on near-planar graphs
LATIN'06 Proceedings of the 7th Latin American conference on Theoretical Informatics
A 4-approximation algorithm for guarding 1.5-dimensional terrains
LATIN'06 Proceedings of the 7th Latin American conference on Theoretical Informatics
Minimal eulerian circuit in a labeled digraph
LATIN'06 Proceedings of the 7th Latin American conference on Theoretical Informatics
Minimum cost source location problems with flow requirements
LATIN'06 Proceedings of the 7th Latin American conference on Theoretical Informatics
Parametrized GRASP heuristics for three-index assignment
EvoCOP'06 Proceedings of the 6th European conference on Evolutionary Computation in Combinatorial Optimization
Improving graph colouring algorithms and heuristics using a novel representation
EvoCOP'06 Proceedings of the 6th European conference on Evolutionary Computation in Combinatorial Optimization
A relation-algebraic view on evolutionary algorithms for some graph problems
EvoCOP'06 Proceedings of the 6th European conference on Evolutionary Computation in Combinatorial Optimization
A memetic algorithm for the biobjective minimum spanning tree problem
EvoCOP'06 Proceedings of the 6th European conference on Evolutionary Computation in Combinatorial Optimization
Load-balanced CDS construction in wireless sensor networks via genetic algorithm
International Journal of Sensor Networks
New metrics for static variable ordering in decision diagrams
TACAS'06 Proceedings of the 12th international conference on Tools and Algorithms for the Construction and Analysis of Systems
SARA: combining stack allocation and register allocation
CC'06 Proceedings of the 15th international conference on Compiler Construction
Implementing minimized multivariate PKC on low-resource embedded systems
SPC'06 Proceedings of the Third international conference on Security in Pervasive Computing
Obtaining provably legitimate internet topologies
IEEE/ACM Transactions on Networking (TON)
List coloring in the absence of a linear forest
WG'11 Proceedings of the 37th international conference on Graph-Theoretic Concepts in Computer Science
Restricted cuts for bisections in solid grids: a proof via polygons
WG'11 Proceedings of the 37th international conference on Graph-Theoretic Concepts in Computer Science
Complexity of splits reconstruction for low-degree trees
WG'11 Proceedings of the 37th international conference on Graph-Theoretic Concepts in Computer Science
Empires make cartography hard: the complexity of the empire colouring problem
WG'11 Proceedings of the 37th international conference on Graph-Theoretic Concepts in Computer Science
Approximability of the path-distance-width for AT-free graphs
WG'11 Proceedings of the 37th international conference on Graph-Theoretic Concepts in Computer Science
Edge routing with ordered bundles
GD'11 Proceedings of the 19th international conference on Graph Drawing
GD'11 Proceedings of the 19th international conference on Graph Drawing
Applying backtracking heuristics for constrained two-dimensional guillotine cutting problems
ICICA'11 Proceedings of the Second international conference on Information Computing and Applications
Error-free multi-valued broadcast and byzantine agreement with optimal communication complexity
OPODIS'11 Proceedings of the 15th international conference on Principles of Distributed Systems
Two hybrid meta-heuristic approaches for minimum dominating set problem
SEMCCO'11 Proceedings of the Second international conference on Swarm, Evolutionary, and Memetic Computing - Volume Part II
Search based software engineering: techniques, taxonomy, tutorial
Empirical Software Engineering and Verification
A saturation binary neural network for bipartite subgraph problem
ICIC'11 Proceedings of the 7th international conference on Intelligent Computing: bio-inspired computing and applications
Embedding of hypercubes into necklace, windmill and snake graphs
Information Processing Letters
Variable neighborhood search for drilling operation scheduling in PCB industries
ICIC'11 Proceedings of the 7th international conference on Advanced Intelligent Computing
ICIC'11 Proceedings of the 7th international conference on Advanced Intelligent Computing
Exploring the accuracy of a parallel cooperative model for trajectory-based metaheuristics
EUROCAST'11 Proceedings of the 13th international conference on Computer Aided Systems Theory - Volume Part I
Efficient algorithms for network localization using cores of underlying graphs
ALGOSENSORS'11 Proceedings of the 7th international conference on Algorithms for Sensor Systems, Wireless Ad Hoc Networks and Autonomous Mobile Entities
MABS'11 Proceedings of the 12th international conference on Multi-Agent-Based Simulation
Combining graph transformation and algebraic specification into model transformation
WADT'10 Proceedings of the 20th international conference on Recent Trends in Algebraic Development Techniques
Generalized above guarantee vertex cover and r-partization
WALCOM'12 Proceedings of the 6th international conference on Algorithms and computation
Switching between different ways to think: multiple approaches to affective common sense reasoning
COST'10 Proceedings of the 2010 international conference on Analysis of Verbal and Nonverbal Communication and Enactment
TailGate: handling long-tail content with a little help from friends
Proceedings of the 21st international conference on World Wide Web
Hierarchical composable optimization of web pages
Proceedings of the 21st international conference companion on World Wide Web
Exact and approximate algorithms for the most connected vertex problem
ACM Transactions on Database Systems (TODS)
Dominating induced matchings for p
ISAAC'11 Proceedings of the 22nd international conference on Algorithms and Computation
Computational study on bidimensionality theory based algorithm for longest path problem
ISAAC'11 Proceedings of the 22nd international conference on Algorithms and Computation
Computing knapsack solutions with cardinality robustness
ISAAC'11 Proceedings of the 22nd international conference on Algorithms and Computation
Algorithms for building consensus MUL-trees
ISAAC'11 Proceedings of the 22nd international conference on Algorithms and Computation
Behavioral conflict and fairness in social networks
WINE'11 Proceedings of the 7th international conference on Internet and Network Economics
Security analysis of an improved MFE public key cryptosystem
CANS'11 Proceedings of the 10th international conference on Cryptology and Network Security
Turing machines for dummies: why representations do matter
SOFSEM'12 Proceedings of the 38th international conference on Current Trends in Theory and Practice of Computer Science
SOFSEM'12 Proceedings of the 38th international conference on Current Trends in Theory and Practice of Computer Science
Mind the gap: A study of Tube tour
Computers and Operations Research
A three-phase algorithm for flowshop scheduling with blocking to minimize makespan
Computers and Operations Research
Optimal configuration of an optical network providing predefined multicast transmissions
Computer Networks: The International Journal of Computer and Telecommunications Networking
Two complexity results on c-optimality in experimental design
Computational Optimization and Applications
Heuristics for a project management problem with incompatibility and assignment costs
Computational Optimization and Applications
An improved heuristic for the far from most strings problem
Journal of Heuristics
Windows scheduling of arbitrary-length jobs on multiple machines
Journal of Scheduling
Consecutive Ones Matrices for Multi-dimensional Orthogonal Packing Problems
Journal of Mathematical Modelling and Algorithms
Minimum common string partition revisited
Journal of Combinatorial Optimization
Algorithms for the minimum weight k-fold (connected) dominating set problem
Journal of Combinatorial Optimization
Remoteness of permutation codes
European Journal of Combinatorics
Inferring a graph from path frequency
Discrete Applied Mathematics
NP-hardness of the sorting buffer problem on the uniform metric
Discrete Applied Mathematics
A decomposition-based approach for service composition with global QoS guarantees
Information Sciences: an International Journal
Information Sciences: an International Journal
Unifying functional and parametric timing verification
Proceedings of the great lakes symposium on VLSI
A probability collectives approach with a feasibility-based rule for constrained optimization
Applied Computational Intelligence and Soft Computing
Scheduling bipartite tournaments to minimize total travel distance
Journal of Artificial Intelligence Research
Finding consensus Bayesian network structures
Journal of Artificial Intelligence Research
On the impact of PMU placement on observability and cross-validation
Proceedings of the 3rd International Conference on Future Energy Systems: Where Energy, Computing and Communication Meet
Power efficient PoP design and auto-configuration
Proceedings of the 3rd International Conference on Future Energy Systems: Where Energy, Computing and Communication Meet
Dynamic Defragmentation of Reconfigurable Devices
ACM Transactions on Reconfigurable Technology and Systems (TRETS)
Wirelength minimization in partitioning and floorplanning using evolutionary algorithms
VLSI Design - Special issue on CAD for Gigascale SoC Design and Verification Solutions
Augmenting tractable fragments of abstract argumentation
Artificial Intelligence
On the complexity of entailment in existential conjunctive first-order logic with atomic negation
Information and Computation
An exact exponential time algorithm for counting bipartite cliques
Information Processing Letters
Efficient spatial sampling of large geographical tables
SIGMOD '12 Proceedings of the 2012 ACM SIGMOD International Conference on Management of Data
TreeSpan: efficiently computing similarity all-matching
SIGMOD '12 Proceedings of the 2012 ACM SIGMOD International Conference on Management of Data
Number of holes in unavoidable sets of partial words II
Journal of Discrete Algorithms
The complexity of finding uniform sparsest cuts in various graph classes
Journal of Discrete Algorithms
Computing role assignments of proper interval graphs in polynomial time
Journal of Discrete Algorithms
Approximation complexity of Metric Dimension problem
Journal of Discrete Algorithms
Automated design of an FDI system for the wind turbine benchmark
Journal of Control Science and Engineering
Networks on chips: structure and design methodologies
Journal of Electrical and Computer Engineering - Special issue on Networks-on-Chip: Architectures, Design Methodologies, and Case Studies
Optimization of Shared High-Performance Reconfigurable Computing Resources
ACM Transactions on Embedded Computing Systems (TECS)
Generalized power domination of graphs
Discrete Applied Mathematics
The maximum degree and diameter-bounded subgraph in the mesh
Discrete Applied Mathematics
Restricted vertex multicut on permutation graphs
Discrete Applied Mathematics
The Traveling Salesman Problem with flexible coloring
Discrete Applied Mathematics
Note: Upper bounds on the Steiner diameter of a graph
Discrete Applied Mathematics
On the complexity of the Eulerian closed walk with precedence path constraints problem
Theoretical Computer Science
Scaffold Filling under the Breakpoint and Related Distances
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
A comparison of distributed test generation techniques
VECoS'08 Proceedings of the Second international conference on Verification and Evaluation of Computer and Communication Systems
Graph model for optimal OVSF code placement strategies
International Journal of Ad Hoc and Ubiquitous Computing
Safety, translation and evaluation of alignment calculus
ADBIS'97 Proceedings of the First East-European conference on Advances in Databases and Information systems
GDRouter: interleaved global routing and detailed routing for ultimate routability
Proceedings of the 49th Annual Design Automation Conference
EPIMap: using epimorphism to map applications on CGRAs
Proceedings of the 49th Annual Design Automation Conference
New lower bounds for certain classes of bin packing algorithms
Theoretical Computer Science
On the approximability of Dodgson and Young elections
Artificial Intelligence
Magic Sets for disjunctive Datalog programs
Artificial Intelligence
Polynomial-time algorithms for minimum energy scheduling
ACM Transactions on Algorithms (TALG)
Tight approximation algorithms for scheduling with fixed jobs and nonavailability
ACM Transactions on Algorithms (TALG)
Quantum Information & Computation
Scheduling in HC and Grids Using a Parallel CHC
Computational Intelligence
Complexity of stability and controllability of elementary hybrid systems
Automatica (Journal of IFAC)
Increasing the minimum degree of a graph by contractions
IPEC'11 Proceedings of the 6th international conference on Parameterized and Exact Computation
Planar disjoint-paths completion
IPEC'11 Proceedings of the 6th international conference on Parameterized and Exact Computation
IPEC'11 Proceedings of the 6th international conference on Parameterized and Exact Computation
Kernel bounds for path and cycle problems
IPEC'11 Proceedings of the 6th international conference on Parameterized and Exact Computation
On the complexity of aggregating information for authentication and profiling
DPM'11 Proceedings of the 6th international conference, and 4th international conference on Data Privacy Management and Autonomous Spontaneus Security
Approximation algorithms for scheduling and packing problems
WAOA'11 Proceedings of the 9th international conference on Approximation and Online Algorithms
Approximation algorithms for the maximum leaf spanning tree problem on acyclic digraphs
WAOA'11 Proceedings of the 9th international conference on Approximation and Online Algorithms
A parameterized complexity tutorial
LATA'12 Proceedings of the 6th international conference on Language and Automata Theory and Applications
Integrity of systems under decentralized integral control
Automatica (Journal of IFAC)
Wireless Communications & Mobile Computing
Efficient total domination in digraphs
Journal of Discrete Algorithms
Semi-online scheduling revisited
Theoretical Computer Science
On the complexity of temporal controllabilities for workflow schemata
Proceedings of the 27th Annual ACM Symposium on Applied Computing
Approximately optimal facet selection
Proceedings of the 27th Annual ACM Symposium on Applied Computing
MpAssign: A Framework for Solving the Many-Core Platform Mapping Problem
Software—Practice & Experience
Heuristic chaining in directed acyclic graphs
Computer Languages
Secure end-to-end delegations in distributed systems
Computer Communications
ACIIDS'12 Proceedings of the 4th Asian conference on Intelligent Information and Database Systems - Volume Part II
A genetic programming approach for solving the linear ordering problem
HAIS'12 Proceedings of the 7th international conference on Hybrid Artificial Intelligent Systems - Volume Part II
Combining metaheuristic algorithms to solve a scheduling problem
HAIS'12 Proceedings of the 7th international conference on Hybrid Artificial Intelligent Systems - Volume Part II
A better approximation ratio and an IP formulation for a sensor cover problem
LATIN'12 Proceedings of the 10th Latin American international conference on Theoretical Informatics
Clique-Colouring and biclique-colouring unichord-free graphs
LATIN'12 Proceedings of the 10th Latin American international conference on Theoretical Informatics
Heuristics-based query optimisation for SPARQL
Proceedings of the 15th International Conference on Extending Database Technology
A real-time multicast routing algorithm for multimedia applications
Computer Communications
A Scalable QoS-based inter-domain routing scheme in a high speed wide area network
Computer Communications
Optimal grouping of components in a distributed system
Computer Communications
Preferred link based delay-constrained least-cost routing in wide area networks
Computer Communications
Algorithms for delay-constrained low-cost multicast tree construction
Computer Communications
Research: An efficient link enhancement strategy for computer networks using genetic algorithm
Computer Communications
Research: A group multicast routing algorithm by using multiple minimum Steiner trees
Computer Communications
On the multidensity gateway location problem for a multilevel high speed internetwork
Computer Communications
Scheduling a two-stage flowshop under makespan constraint
Mathematical and Computer Modelling: An International Journal
Optimal search strategies using simultaneous generalized hill climbing algorithms
Mathematical and Computer Modelling: An International Journal
Some assignment problems arising from multiple target tracking
Mathematical and Computer Modelling: An International Journal
Ranking of decision rules with random power distribution
Mathematical and Computer Modelling: An International Journal
A computational approach to unbiased districting
Mathematical and Computer Modelling: An International Journal
Weighted Voronoi region algorithms for political districting
Mathematical and Computer Modelling: An International Journal
Reduction of test suites using mutation
FASE'12 Proceedings of the 15th international conference on Fundamental Approaches to Software Engineering
FOSSACS'12 Proceedings of the 15th international conference on Foundations of Software Science and Computational Structures
The NP-completeness of the n/m /parallel/Cmax preemptive due-date scheduling problem
Mathematical and Computer Modelling: An International Journal
Composite stock cutting through simulated annealing
Mathematical and Computer Modelling: An International Journal
Railway scheduling by network optimization
Mathematical and Computer Modelling: An International Journal
Mathematical and Computer Modelling: An International Journal
Enforceable security policies revisited
POST'12 Proceedings of the First international conference on Principles of Security and Trust
Modeling transactional queries via templates
ECIR'12 Proceedings of the 34th European conference on Advances in Information Retrieval
The point-set embeddability problem for plane graphs
Proceedings of the twenty-eighth annual symposium on Computational geometry
Algorithmic complexity of finding cross-cycles in flag complexes
Proceedings of the twenty-eighth annual symposium on Computational geometry
Disjoint edges in complete topological graphs
Proceedings of the twenty-eighth annual symposium on Computational geometry
EvoApplications'12 Proceedings of the 2012t European conference on Applications of Evolutionary Computation
A novel multiobjective formulation of the robust software project scheduling problem
EvoApplications'12 Proceedings of the 2012t European conference on Applications of Evolutionary Computation
Optimizing the unlimited shift generation problem
EvoApplications'12 Proceedings of the 2012t European conference on Applications of Evolutionary Computation
A hybrid artificial bee colony algorithm for graph 3-coloring
SIDE'12 Proceedings of the 2012 international conference on Swarm and Evolutionary Computation
The solution of the soccer “dream league” game
Mathematical and Computer Modelling: An International Journal
Performance evaluation of evolutionary class of algorithms-An application to 0-1 knapsack problem
Mathematical and Computer Modelling: An International Journal
Mathematical and Computer Modelling: An International Journal
Introducing global constraints in CHIP
Mathematical and Computer Modelling: An International Journal
How hard is it to control an election?
Mathematical and Computer Modelling: An International Journal
Inconsistency analysis by approximately specified priorities
Mathematical and Computer Modelling: An International Journal
Mathematical and Computer Modelling: An International Journal
Location of computers and multiple files in distributed computer systems
Mathematical and Computer Modelling: An International Journal
Flexible flow shop scheduling with stochastic processing times: A decomposition-based approach
Computers and Industrial Engineering
Scenario based robust line balancing: Computational complexity
Discrete Applied Mathematics
Backup 2-center on interval graphs
Theoretical Computer Science
Hierarchical branch and bound algorithm for computational grids
Future Generation Computer Systems
Graph pattern matching revised for social network analysis
Proceedings of the 15th International Conference on Database Theory
Mathematical and Computer Modelling: An International Journal
Complexity evaluation of benchmark instances for the p-median problem
Mathematical and Computer Modelling: An International Journal
Development of an in vivo computer for the SAT problem
Mathematical and Computer Modelling: An International Journal
Discrete sensor placement problems in distribution networks
Mathematical and Computer Modelling: An International Journal
Optimal partitions in additively separable hedonic games
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume One
Verifying normative behaviour via normative mechanism design
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume One
On the complexity of voting manipulation under randomized tie-breaking
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume One
Constraint satisfaction problems: convexity makes all different constraints tractable
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume One
A hybrid recursive multi-way number partitioning algorithm
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume One
Heuristic algorithms for balanced multi-way number partitioning
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume One
Generalized planning: synthesizing plans that work for multiple environments
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Two
Augmenting tractable fragments of abstract argumentation
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Two
Iterative flattening search for the flexible job shop scheduling problem
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Three
New complexity results for MAP in Bayesian networks
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Three
A system for providing differentiated QoS in retail banking
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Three
Ties matter: complexity of voting manipulation revisited
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Three
Operations Research Letters
Approximation hardness of min-max tree covers
Operations Research Letters
Solving weighted MAX-SAT via global equilibrium search
Operations Research Letters
Unbounded parallel batch scheduling with job delivery to minimize makespan
Operations Research Letters
The competitive salesman problem on a network: a worst-case approach
Operations Research Letters
A special ordered set approach for optimizing a discontinuous separable piecewise linear function
Operations Research Letters
On the Kth best base of a matroid
Operations Research Letters
A monotone approximation algorithm for scheduling with precedence constraints
Operations Research Letters
Exact MAX-2SAT solution via lift-and-project closure
Operations Research Letters
An analysis of the extended Christofides heuristic for the k-depot TSP
Operations Research Letters
Computing shortest heterochromatic monotone routes
Operations Research Letters
Container vessel scheduling with bi-directional flows
Operations Research Letters
Improved worst-case complexity for the MIN 3-SET COVERING problem
Operations Research Letters
Complexity of two dual criteria scheduling problems
Operations Research Letters
Using critical sets to solve the maximum independent set problem
Operations Research Letters
Lot-sizing with non-stationary cumulative capacities
Operations Research Letters
Profit-based latency problems on the line
Operations Research Letters
Complexity of single machine scheduling problems under scenario-based uncertainty
Operations Research Letters
On a generalization of the Gallai-Roy-Vitaver theorem to the bandwidth coloring problem
Operations Research Letters
Complexity of a scheduling problem with controllable processing times
Operations Research Letters
Complexity of the job insertion problem in multi-stage scheduling
Operations Research Letters
Order preserving reductions and polynomial improving paths
Operations Research Letters
The robust shortest path problem in series-parallel multidigraphs with interval data
Operations Research Letters
The hardness of train rearrangements
Operations Research Letters
Scheduling with a minimum number of machines
Operations Research Letters
Complexity of core allocation for the bin packing game
Operations Research Letters
A PTAS for capacitated sum-of-ratios optimization
Operations Research Letters
Operations Research Letters
Single-path routing of stochastic flows in networks
Operations Research Letters
Vehicle scheduling with combinable delivery and pickup operations
Operations Research Letters
The path partition problem and related problems in bipartite graphs
Operations Research Letters
Exact algorithms for the Hamiltonian cycle problem in planar graphs
Operations Research Letters
A new asymmetric pyramidally solvable class of the traveling salesman problem
Operations Research Letters
Multigraph realizations of degree sequences: Maximization is easy, minimization is hard
Operations Research Letters
Optimal bundle pricing with monotonicity constraint
Operations Research Letters
Regression testing minimization, selection and prioritization: a survey
Software Testing, Verification & Reliability
Dynamic routing at different layers in IP-over-WDM networks - Maximizing energy savings
Optical Switching and Networking
Optical network design to minimize switching and transceiver equipment costs
Optical Switching and Networking
Algorithms for the global design of WDM networks including the traffic grooming
Optical Switching and Networking
Optimal assignment of mobile agents for software authorization and protection
Computer Communications
Expert Systems with Applications: An International Journal
Loop-free multicast routing with end-to-end delay constraint
Computer Communications
Parallel resource co-allocation for the computational grid
Computer Languages, Systems and Structures
Survey: The cook-book approach to the differential equation method
Computer Science Review
Survey: A survey on the structure of approximation classes
Computer Science Review
Survey: Colouring, constraint satisfaction, and complexity
Computer Science Review
Computer Science Review
Survey: Subexponential parameterized algorithms
Computer Science Review
Survey: The consequences of eliminating NP solutions
Computer Science Review
An exact approach for the Vertex Coloring Problem
Discrete Optimization
Cardinality constrained combinatorial optimization: Complexity and polyhedra
Discrete Optimization
Complexity results for Weighted Timed Event Graphs
Discrete Optimization
Graph coloring with cardinality constraints on the neighborhoods
Discrete Optimization
Charge and reduce: A fixed-parameter algorithm for String-to-String Correction
Discrete Optimization
Group sequencing around a common due date
Discrete Optimization
A sequential elimination algorithm for computing bounds on the clique number of a graph
Discrete Optimization
Generalizing the induced matching by edge capacity constraints
Discrete Optimization
George Dantzig's impact on the theory of computation
Discrete Optimization
How tight is the corner relaxation?
Discrete Optimization
A branch-and-cut approach to the crossing number problem
Discrete Optimization
Recent results on well-balanced orientations
Discrete Optimization
Parameterized algorithms for weighted matching and packing problems
Discrete Optimization
Rectangle packing with one-dimensional resource augmentation
Discrete Optimization
Complexity of the min-max (regret) versions of min cut problems
Discrete Optimization
Greedy-type resistance of combinatorial problems
Discrete Optimization
On relocation problems with multiple identical working crews
Discrete Optimization
Using fractional primal-dual to schedule split intervals with demands
Discrete Optimization
On the high multiplicity traveling salesman problem
Discrete Optimization
Labeled Traveling Salesman Problems: Complexity and approximation
Discrete Optimization
Scheduling on same-speed processors with at most one downtime on each machine
Discrete Optimization
The Wiener maximum quadratic assignment problem
Discrete Optimization
Biclique completion problems for multicast network design
Discrete Optimization
Fast loop-level data dependence profiling
Proceedings of the 26th ACM international conference on Supercomputing
A self-adaptive differential evolution algorithm for binary CSPs
Computers & Mathematics with Applications
Networks
Hybrid optimization techniques for the workshift and rest assignment of nursing personnel
Artificial Intelligence in Medicine
Fast lifting procedures for the bin packing problem
Discrete Optimization
Multiroute flows: Cut-trees and realizability
Discrete Optimization
Traffic grooming in WDM ring networks to minimize the maximum electronic port cost
Optical Switching and Networking
Interleaving two-phased jobs on a single machine
Discrete Optimization
Computational complexity of some scheduling problems with multiprocessor tasks
Discrete Optimization
Set covering with almost consecutive ones property
Discrete Optimization
Thomassen's conjecture implies polynomiality of 1-Hamilton-connectedness in line graphs
Journal of Graph Theory
Dynamic programming meets the principle of inclusion and exclusion
Operations Research Letters
The distance-domination numbers of trees
Operations Research Letters
Some NP-complete problems in linear programming
Operations Research Letters
On the complexity of the one-terminal network design problem
Operations Research Letters
The noising method: a new method for combinatorial optimization
Operations Research Letters
An on-line algorithm for multidimensional bin packing
Operations Research Letters
Independent domination in chordal graphs
Operations Research Letters
Operations Research Letters
Most vital links and nodes in weighted networks
Operations Research Letters
A note on the minimum cut cover of graphs
Operations Research Letters
Earliness-tardiness scheduling problems with a common delivery window
Operations Research Letters
On the complexity of scheduling tasks with discrete starting times
Operations Research Letters
The complexity of the staggering problem, and other classical inventory problems
Operations Research Letters
Sequencing to minimize the maximum renewal cumulative cost
Operations Research Letters
One-machine generalized precedence constrained scheduling problems
Operations Research Letters
Local search and the local structure of NP-complete problems
Operations Research Letters
An exact algorithm for the dual bin packing problem
Operations Research Letters
A new dynamic programming algorithm for the parallel machines total weighted completion time problem
Operations Research Letters
The expected relative error of the polyhedral approximation of the max-cut problem
Operations Research Letters
New lower and upper bounds for on-line scheduling
Operations Research Letters
How difficult is the frequency selection problem?
Operations Research Letters
Steiner trees with n terminals among n + 1 nodes
Operations Research Letters
On the optimal assembly of series-parallel systems
Operations Research Letters
Cosine: A new graph coloring algorithm
Operations Research Letters
An application of vertex packing to data analysis in the evaluation of pavement deterioration
Operations Research Letters
Weakly bipartite graphs and the Max-cut problem
Operations Research Letters
Operations Research Letters
Operations Research Letters
On the computational complexity of reliability redundancy allocation in a series system
Operations Research Letters
The traveling salesman problem with delivery and backhauls
Operations Research Letters
Batch scheduling to minimize total completion time
Operations Research Letters
Scheduling shops to minimize the weighted number of late jobs
Operations Research Letters
On the complexity of locating linear facilities in the plane
Operations Research Letters
Approximate algorithms for the travelling purchaser problem
Operations Research Letters
Minimizing the number of late jobs on unrelated machines
Operations Research Letters
Operations Research Letters
Some properties of the fleet assignment problem
Operations Research Letters
A note on one-processor scheduling with asymmetric earliness and tardiness penalties
Operations Research Letters
On some weakly bipartite graphs
Operations Research Letters
Linear time-approximation algorithms for bin packing
Operations Research Letters
A polynomial approximation scheme for problem F2/rj/Cmax
Operations Research Letters
The complexity of scheduling job families about a common due date
Operations Research Letters
W[2]-hardness of precedence constrained K-processor scheduling
Operations Research Letters
Minimizing a linear multiplicative-type function under network flow constraints
Operations Research Letters
Operations Research Letters
Solving hard set covering problems
Operations Research Letters
Semi on-line algorithms for the partition problem
Operations Research Letters
Optimal on-line algorithms for variable-sized bin covering
Operations Research Letters
A linear time algorithm for restricted bin packing and scheduling problems
Operations Research Letters
Single machine batch scheduling with deadlines and resource dependent processing times
Operations Research Letters
Approximation algorithms for the multiprocessor open shop scheduling problem
Operations Research Letters
A tight upper bound for the k-partition problem on ideal sets
Operations Research Letters
An O(n4) algorithm for preemptive scheduling of a single machine to minimize the number of late jobs
Operations Research Letters
The max-cut problem on graphs not contractible to K5
Operations Research Letters
Batch scheduling to minimize maximum lateness
Operations Research Letters
Single machine scheduling with discretely controllable processing times
Operations Research Letters
Structured p-facility location problems on the line solvable in polynomial time
Operations Research Letters
Computational aspects of the maximum diversity problem
Operations Research Letters
Single-machine scheduling with trade-off between number of tardy jobs and resource allocation
Operations Research Letters
A fast bipartite network flow algorithm for selective assembly
Operations Research Letters
New directions in scheduling theory
Operations Research Letters
Worst-case analysis of two travelling salesman heuristics
Operations Research Letters
The growth of multi-constraint random knapsacks with large right-hand sides of the constraints
Operations Research Letters
Submodular containment is hard, even for networks
Operations Research Letters
A probabilistic result for the max-cut problem on random graphs
Operations Research Letters
An instance of the cutting stock problem for which the rounding property does not hold
Operations Research Letters
A remark on max-cut problem with an application to digital-analogue convertors
Operations Research Letters
On the complexity of decomposing matrices arising in satellite communication
Operations Research Letters
Worst-case analysis of a scheduling algorithm
Operations Research Letters
On the complexity of scheduling unit-time jobs with OR-precedence constraints
Operations Research Letters
No-wait flexible flowshop scheduling with no-idle machines
Operations Research Letters
Complexity of the min-max and min-max regret assignment problems
Operations Research Letters
Combinatorial approximation algorithms: a comparative review
Operations Research Letters
Review of recent development: The matroidal knapsack: A class of (often) well-solvable problems
Operations Research Letters
On negative cycles in mixed graphs
Operations Research Letters
An integral transformation for integer programming problems
Operations Research Letters
Convergence of optimal stochastic bin packing
Operations Research Letters
A polynomial-time algorithm for the change-making problem
Operations Research Letters
On complexity of unconstrained hyperbolic 0-1 programming problems
Operations Research Letters
Minimizing flow time on a constant number of machines with preemption
Operations Research Letters
Approximation of the supply scheduling problem
Operations Research Letters
Preemptive scheduling of equal-length jobs to maximize weighted throughput
Operations Research Letters
Computational complexity of uncapacitated multi-echelon production planning problems
Operations Research Letters
A probabilistic heuristic for a computationally difficult set covering problem
Operations Research Letters
Finding the most vital arcs in a network
Operations Research Letters
A decomposition method for minimizing quadratic pseudo-Boolean functions
Operations Research Letters
Worst-case analysis of an approximation scheme for the subset-sum problem
Operations Research Letters
Checking local optimality in constrained quadratic programming is NP-hard
Operations Research Letters
Total domination in block graphs
Operations Research Letters
On a discrete nonlinear and nonseparable knapsack problem
Operations Research Letters
A note on order preserving matchings
Operations Research Letters
Distribution-free bounds on the expectation of the maximum with scheduling applications
Operations Research Letters
Solving H-horizon, stationary Markov decision problems in time proportional to log(H)
Operations Research Letters
Next-fit packs a list and its reverse into the same number of bins
Operations Research Letters
Upper-bounds for quadratic 0-1 maximization
Operations Research Letters
A two-phase heuristic for strip packing: Algorithm and probabilistic analysis
Operations Research Letters
A note on the average-case behavior of a simple differencing method for partitioning
Operations Research Letters
Bin packing as a random walk: A note on knödel's paper
Operations Research Letters
Maximizing circle of trust in online social networks
Proceedings of the 23rd ACM conference on Hypertext and social media
MORPHOSYS: Efficient Colocation of QoS-Constrained Workloads in the Cloud
CCGRID '12 Proceedings of the 2012 12th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (ccgrid 2012)
Accelerating 2-opt and 3-opt Local Search Using GPU in the Travelling Salesman Problem
CCGRID '12 Proceedings of the 2012 12th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (ccgrid 2012)
Efficient subgraph similarity search on large probabilistic graph databases
Proceedings of the VLDB Endowment
Brief announcement: a GPU accelerated iterated local search TSP solver
Proceedings of the twenty-fourth annual ACM symposium on Parallelism in algorithms and architectures
A(3/2+ε) approximation algorithm for scheduling moldable and non-moldable parallel tasks
Proceedings of the twenty-fourth annual ACM symposium on Parallelism in algorithms and architectures
Cache-conscious scheduling of streaming applications
Proceedings of the twenty-fourth annual ACM symposium on Parallelism in algorithms and architectures
Statistical behaviors of mobile agents in network routing
The Journal of Supercomputing
An improved MIP-based approach for a multi-skill workforce scheduling problem
Journal of Scheduling
Scheduling of pipelined operator graphs
Journal of Scheduling
On Eulerian extensions and their application to no-wait flowshop scheduling
Journal of Scheduling
Single-machine multi-agent scheduling problems with a global objective function
Journal of Scheduling
Cell-transmission-based evacuation planning with rescue teams
Journal of Heuristics
A New Ant Colony Optimization Algorithm for the Lower Bound of Sum Coloring Problem
Journal of Mathematical Modelling and Algorithms
Analysis of new niching genetic algorithms for finding multiple solutions in the job shop scheduling
Journal of Intelligent Manufacturing
Journal of Intelligent Manufacturing
Automatica (Journal of IFAC)
NP-completeness and APX-completeness of restrained domination in graphs
Theoretical Computer Science
A method to construct counterexamples for greedy algorithms
Proceedings of the 17th ACM annual conference on Innovation and technology in computer science education
A hybridization between memetic algorithm and semidefinite relaxation for the max-cut problem
Proceedings of the 14th annual conference on Genetic and evolutionary computation
Exact computation of the expectation curves for uniform crossover
Proceedings of the 14th annual conference on Genetic and evolutionary computation
The minimum spanning tree problem with non-terminal set
Information Processing Letters
Complexity of minimum corridor guarding problems
Information Processing Letters
A finite state intersection approach to propositional satisfiability
Theoretical Computer Science
Proceedings of the 45th Annual Simulation Symposium
Minimum-time aggregation scheduling in duty-cycled wireless sensor networks
Journal of Computer Science and Technology - Special issue on Natural Language Processing
On the evaluation of election outcomes under uncertainty
Artificial Intelligence
On-demand test suite reduction
Proceedings of the 34th International Conference on Software Engineering
Proceedings of the 34th International Conference on Software Engineering
Computing optimal contracts in combinatorial agencies
Theoretical Computer Science
An algorithmic analysis of the Honey-Bee game
Theoretical Computer Science
On the inapproximability of maximum intersection problems
Information Processing Letters
A two stage scheduling with transportation and batching
Information Processing Letters
Large scale parallel iterated local search algorithm for solving traveling salesman problem
Proceedings of the 2012 Symposium on High Performance Computing
Parallel particle swarm optimization (PPSO) on the coverage problem in pursuit-evasion games
Proceedings of the 2012 Symposium on High Performance Computing
Distributed top-k full-text content dissemination
Distributed and Parallel Databases
Automated knowledge source selection and service composition
Computational Optimization and Applications
Routing equal-size messages on a slotted ring
Journal of Scheduling
A Complete 4-parametric complexity classification of short shop scheduling problems
Journal of Scheduling
New bounds and algorithms for the transshipment yard scheduling problem
Journal of Scheduling
On the calendar planning problem with renewable resource
Automation and Remote Control
Selecting a characteristic set of reviews
Proceedings of the 18th ACM SIGKDD international conference on Knowledge discovery and data mining
SIAM Journal on Discrete Mathematics
Regular Expressions with Counting: Weak versus Strong Determinism
SIAM Journal on Computing
The Complexity of Inferring A Minimally Resolved Phylogenetic Supertree
SIAM Journal on Computing
Buying Cheap Is Expensive: Approximability of Combinatorial Pricing Problems
SIAM Journal on Computing
SIAM Journal on Optimization
SIAM Journal on Scientific Computing
SIAM Journal on Discrete Mathematics
Uniqueness in Discrete Tomography: Three Remarks and a Corollary
SIAM Journal on Discrete Mathematics
A 3/2-Approximation Algorithm for Finding Spanning Trees with Many Leaves in Cubic Graphs
SIAM Journal on Discrete Mathematics
Conflicting Congestion Effects in Resource Allocation Games
Operations Research
Low-Rank Matrix Approximation with Weights or Missing Data Is NP-Hard
SIAM Journal on Matrix Analysis and Applications
Independent domination on tree convex bipartite graphs
FAW-AAIM'12 Proceedings of the 6th international Frontiers in Algorithmics, and Proceedings of the 8th international conference on Algorithmic Aspects in Information and Management
Online minimum makespan scheduling with a buffer
FAW-AAIM'12 Proceedings of the 6th international Frontiers in Algorithmics, and Proceedings of the 8th international conference on Algorithmic Aspects in Information and Management
A dense hierarchy of sublinear time approximation schemes for bin packing
FAW-AAIM'12 Proceedings of the 6th international Frontiers in Algorithmics, and Proceedings of the 8th international conference on Algorithmic Aspects in Information and Management
On editing graphs into 2-club clusters
FAW-AAIM'12 Proceedings of the 6th international Frontiers in Algorithmics, and Proceedings of the 8th international conference on Algorithmic Aspects in Information and Management
An improved approximation algorithm for the bandpass problem
FAW-AAIM'12 Proceedings of the 6th international Frontiers in Algorithmics, and Proceedings of the 8th international conference on Algorithmic Aspects in Information and Management
A 2-approximation algorithm for optimal deployment of k base stations in WSNs
IFIP'12 Proceedings of the 11th international IFIP TC 6 conference on Networking - Volume Part II
Constant-Time approximation algorithms for the knapsack problem
TAMC'12 Proceedings of the 9th Annual international conference on Theory and Applications of Models of Computation
Online makespan scheduling of linear deteriorating jobs on parallel machines
TAMC'12 Proceedings of the 9th Annual international conference on Theory and Applications of Models of Computation
An algorithmic view on multi-related-segments: a unifying model for approximate common interval
TAMC'12 Proceedings of the 9th Annual international conference on Theory and Applications of Models of Computation
A detailed study of the dominating cliques phase transition in random graphs
TAMC'12 Proceedings of the 9th Annual international conference on Theory and Applications of Models of Computation
Solving underdetermined systems of multivariate quadratic equations revisited
PKC'12 Proceedings of the 15th international conference on Practice and Theory in Public Key Cryptography
Public-Key identification schemes based on multivariate cubic polynomials
PKC'12 Proceedings of the 15th international conference on Practice and Theory in Public Key Cryptography
Flow-Based combinatorial chance constraints
CPAIOR'12 Proceedings of the 9th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Solving the longest simple path problem with constraint-based techniques
CPAIOR'12 Proceedings of the 9th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Detecting multiple stochastic network motifs in network data
PAKDD'12 Proceedings of the 16th Pacific-Asia conference on Advances in Knowledge Discovery and Data Mining - Volume Part II
Inapproximability of (1,2)-exemplar distance
ISBRA'12 Proceedings of the 8th international conference on Bioinformatics Research and Applications
Phylogenetic tree reconstruction with protein linkage
ISBRA'12 Proceedings of the 8th international conference on Bioinformatics Research and Applications
Social networks profile mapping using games
WebApps'12 Proceedings of the 3rd USENIX conference on Web Application Development
Scheduling of variable-time jobs for distributed systems with heterogeneous processor cardinality
International Journal of Ad Hoc and Ubiquitous Computing
Optimal manipulation of voting rules
Proceedings of the 11th International Conference on Autonomous Agents and Multiagent Systems - Volume 2
Proceedings of the 11th International Conference on Autonomous Agents and Multiagent Systems - Volume 2
A Characterization of b-Perfect Graphs
Journal of Graph Theory
Fixed-Parameter tractability, a prehistory,
The Multivariate Algorithmic Revolution and Beyond
The birth and early years of parameterized complexity
The Multivariate Algorithmic Revolution and Beyond
A basic parameterized complexity primer
The Multivariate Algorithmic Revolution and Beyond
Constraint satisfaction problems parameterized above or below tight bounds: a survey
The Multivariate Algorithmic Revolution and Beyond
The Multivariate Algorithmic Revolution and Beyond
Computer science unplugged and related projects in math and computer science popularization
The Multivariate Algorithmic Revolution and Beyond
Data Structures for Higher-Dimensional Rectilinear Packing
INFORMS Journal on Computing
Robust Software Partitioning with Multiple Instantiation
INFORMS Journal on Computing
On-Line communities making scense: a hybrid micro-blogging platform community analysis framework
KES-AMSTA'12 Proceedings of the 6th KES international conference on Agent and Multi-Agent Systems: technologies and applications
Workload driven power domain partitioning
VDAT'12 Proceedings of the 16th international conference on Progress in VLSI Design and Test
On the optimal allocation of adversarial resources
Proceedings of the first ACM international workshop on Mission-oriented wireless sensor networking
Static NBTI Reduction Using Internal Node Control
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Proceedings of the VLDB Endowment
Keyword-aware optimal route search
Proceedings of the VLDB Endowment
Finding patterns in an unknown graph
AI Communications - The Symposium on Combinatorial Search
The cost optimal solution of the multi-constrained multicast routing problem
Computer Networks: The International Journal of Computer and Telecommunications Networking
Five-coloring graphs on the Klein bottle
Journal of Combinatorial Theory Series B
Generalized k-ary tanglegrams on level graphs: A satisfiability-based approach and its evaluation
Discrete Applied Mathematics
Dense and sparse graph partition
Discrete Applied Mathematics
Improving the extraction and expansion method for large graph coloring
Discrete Applied Mathematics
Highly efficient parallel approach to the next-generation DNA sequencing
PPAM'11 Proceedings of the 9th international conference on Parallel Processing and Applied Mathematics - Volume Part II
Finding efficient circuits for ensemble computation
SAT'12 Proceedings of the 15th international conference on Theory and Applications of Satisfiability Testing
Cryptanalysis of enhanced TTS, STS and all its variants, or: why cross-terms are important
AFRICACRYPT'12 Proceedings of the 5th international conference on Cryptology in Africa
Faster algorithm for solving hard knapsacks for moderate message length
ACISP'12 Proceedings of the 17th Australasian conference on Information Security and Privacy
Clique cover and graph separation: new incompressibility results
ICALP'12 Proceedings of the 39th international colloquium conference on Automata, Languages, and Programming - Volume Part I
ICALP'12 Proceedings of the 39th international colloquium conference on Automata, Languages, and Programming - Volume Part I
Decidability of Downward XPath
ACM Transactions on Computational Logic (TOCL)
Efficient synthesis of feature models
Proceedings of the 16th International Software Product Line Conference - Volume 1
Multi-layer hybrid wired-cum-wireless sensor network design
International Journal of Communication Networks and Distributed Systems
MQQ-SIG: an ultra-fast and provably CMA resistant digital signature scheme
INTRUST'11 Proceedings of the Third international conference on Trusted Systems
Removing nondeterminism in constant height pushdown automata
DCFS'12 Proceedings of the 14th international conference on Descriptional Complexity of Formal Systems
Descriptional complexity of pushdown store languages
DCFS'12 Proceedings of the 14th international conference on Descriptional Complexity of Formal Systems
From equivalence to almost-equivalence, and beyond--minimizing automata with errors
DLT'12 Proceedings of the 16th international conference on Developments in Language Theory
Complexity results for deciding Networks of Evolutionary Processors
Theoretical Computer Science
Hamiltonian orthogeodesic alternating paths
Journal of Discrete Algorithms
IEEE/ACM Transactions on Networking (TON)
A Multi-swarm Approach to Multi-objective Flexible Job-shop Scheduling Problems
Fundamenta Informaticae - Swarm Intelligence
Polynomial-TimeMaximisation Classes: Syntactic Hierarchy
Fundamenta Informaticae - Workshop on Combinatorial Algorithms
Computational Efficiency of Intermolecular Gene Assembly
Fundamenta Informaticae
Solving SUBSET SUM by Spiking Neural P Systems with Pre-computed Resources
Fundamenta Informaticae
A Quantum-Inspired Evolutionary Algorithm Based on P systems for Knapsack Problem
Fundamenta Informaticae
Preferential Regular Path Queries
Fundamenta Informaticae
Fundamenta Informaticae - New Frontiers in Scientific Discovery - Commemorating the Life and Work of Zdzislaw Pawlak
Pawlak's Information Systems in Terms of Galois Connections and Functional Dependencies
Fundamenta Informaticae - New Frontiers in Scientific Discovery - Commemorating the Life and Work of Zdzislaw Pawlak
Modelling Multiple Robots in Space: An Adaptive Eco-Grammar System
Fundamenta Informaticae - SPECIAL ISSUE ON DEVELOPMENTS IN GRAMMAR SYSTEMS
Coordinating parallel mobile ambients to solve SAT problem in polynomial number of steps
COORDINATION'12 Proceedings of the 14th international conference on Coordination Models and Languages
Implementation and comparison of heuristics for the vertex cover problem on huge graphs
SEA'12 Proceedings of the 11th international conference on Experimental Algorithms
Algorithms for subnetwork mining in heterogeneous networks
SEA'12 Proceedings of the 11th international conference on Experimental Algorithms
On computer integrated rationalized crossword puzzle manufacturing
FUN'12 Proceedings of the 6th international conference on Fun with Algorithms
Algorithms and complexity of generalized river crossing problems
FUN'12 Proceedings of the 6th international conference on Fun with Algorithms
Computing maximum hamiltonian paths in complete graphs with tree metric
FUN'12 Proceedings of the 6th international conference on Fun with Algorithms
A formal study on the dualities in temporal projection problems
Canadian AI'12 Proceedings of the 25th Canadian conference on Advances in Artificial Intelligence
CiE'12 Proceedings of the 8th Turing Centenary conference on Computability in Europe: how the world computes
Optimal Pricing in Networks with Externalities
Operations Research
Improving the performance of constructive multi-start search using record-keeping
IEA/AIE'12 Proceedings of the 25th international conference on Industrial Engineering and Other Applications of Applied Intelligent Systems: advanced research in applied artificial intelligence
Subset quadratic assignment problem
IEA/AIE'12 Proceedings of the 25th international conference on Industrial Engineering and Other Applications of Applied Intelligent Systems: advanced research in applied artificial intelligence
Complexity of the soundness problem of bounded workflow nets
PETRI NETS'12 Proceedings of the 33rd international conference on Application and Theory of Petri Nets
P- and t-systems in the nets-within-nets-formalism
PETRI NETS'12 Proceedings of the 33rd international conference on Application and Theory of Petri Nets
A linear kernel for the complementary maximal strip recovery problem
CPM'12 Proceedings of the 23rd Annual conference on Combinatorial Pattern Matching
The fault tolerant capacitated k-center problem
SIROCCO'12 Proceedings of the 19th international conference on Structural Information and Communication Complexity
Watchman routes for lines and segments
SWAT'12 Proceedings of the 13th Scandinavian conference on Algorithm Theory
SWAT'12 Proceedings of the 13th Scandinavian conference on Algorithm Theory
The Lambek-Grishin calculus is NP-Complete
FG'10/FG'11 Proceedings of the 15th and 16th international conference on Formal Grammar
Minimum ratio cover of matrix columns by extreme rays of its induced cone
ISCO'12 Proceedings of the Second international conference on Combinatorial Optimization
Theoretical investigation of aggregation in pseudo-polynomial network-flow models
ISCO'12 Proceedings of the Second international conference on Combinatorial Optimization
Sum-Max graph partitioning problem
ISCO'12 Proceedings of the Second international conference on Combinatorial Optimization
Selective graph coloring in some special classes of graphs
ISCO'12 Proceedings of the Second international conference on Combinatorial Optimization
Graph orientations optimizing the number of light or heavy vertices
ISCO'12 Proceedings of the Second international conference on Combinatorial Optimization
Constrained matching problems in bipartite graphs
ISCO'12 Proceedings of the Second international conference on Combinatorial Optimization
MFCS'12 Proceedings of the 37th international conference on Mathematical Foundations of Computer Science
Parameterized study of the test cover problem
MFCS'12 Proceedings of the 37th international conference on Mathematical Foundations of Computer Science
Sitting closer to friends than enemies, revisited
MFCS'12 Proceedings of the 37th international conference on Mathematical Foundations of Computer Science
Fast balanced partitioning is hard even on grids and trees
MFCS'12 Proceedings of the 37th international conference on Mathematical Foundations of Computer Science
An improved approximation scheme for variable-sized bin packing
MFCS'12 Proceedings of the 37th international conference on Mathematical Foundations of Computer Science
A simulated annealing based approach to the high school timetabling problem
IDEAL'12 Proceedings of the 13th international conference on Intelligent Data Engineering and Automated Learning
The little engine(s) that could: scaling online social networks
IEEE/ACM Transactions on Networking (TON)
Scalable communications for a million-core neural processing architecture
Journal of Parallel and Distributed Computing
On an exact method for the constrained shortest path problem
Computers and Operations Research
Computers and Operations Research
A simple randomized algorithm for two-dimensional strip packing
Computers and Operations Research
Solving software project scheduling problems with ant colony optimization
Computers and Operations Research
A General Framework for Mining Frequent Subgraphs from Labeled Graphs
Fundamenta Informaticae - Advances in Mining Graphs, Trees and Sequences
Frequent Subtree Mining - An Overview
Fundamenta Informaticae - Advances in Mining Graphs, Trees and Sequences
A Genetic Hillclimbing Algorithm for the Optimal Linear Arrangement Problem
Fundamenta Informaticae
Residual Finite State Automata
Fundamenta Informaticae
New polynomial-time instances to various knapsack-type problems
Fundamenta Informaticae
Fundamenta Informaticae
On non-trivial Nash stable partitions in additive hedonic games with symmetric 0/1-utilities
Information Processing Letters
A Generalization Model Based on OI-implication for Ideal heory Refinement
Fundamenta Informaticae - Intelligent Systems
Ensembles of Classifiers Based on Approximate Reducts
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P'2000)
Models For Dependable Computation with Multiple Inputs and Some Hardness Results
Fundamenta Informaticae
Fundamenta Informaticae
Normalized Decision Functions and Measures for Inconsistent Decision Tables Analysis
Fundamenta Informaticae
Automated analysis of weighted voting games
Proceedings of the 13th International Conference on Electronic Commerce
Interference-Aware Bandwidth Reservation in multi-radio multi-channel mesh networks
Computer Communications
Rough Sets and Association Rule Generation
Fundamenta Informaticae
Fundamenta Informaticae
Finite Markov Chain Results in Evolutionary Computation: A Tour d'Horizon
Fundamenta Informaticae
Fundamenta Informaticae
UNIFICATION MODULO ACI + 1 + 0
Fundamenta Informaticae
ON SETS OF TERMS: A STUDY OF A GENERALISATION RELATION AND OF ITS ALGORITHMIC PROPERTIES
Fundamenta Informaticae
CATEGORISATION OF COMPUTABLE DATABASE QUERIES
Fundamenta Informaticae
Complexity of Gröbner basis detection and border basis detection
Theoretical Computer Science
Algorithms for dominating clique problems
Theoretical Computer Science
Complexity of distance paired-domination problem in graphs
Theoretical Computer Science
Wirelength of hypercubes into certain trees
Discrete Applied Mathematics
The P versus NP-complete dichotomy of some challenging problems in graph theory
Discrete Applied Mathematics
Discrete Applied Mathematics
On the maximum quasi-clique problem
Discrete Applied Mathematics
Information Processing Letters
Combination of parallel machine scheduling and vertex cover
Theoretical Computer Science
Maximum regular induced subgraphs in 2P3-free graphs
Theoretical Computer Science
Genetic algorithms: concepts, issues and a case study of grammar induction
Proceedings of the CUBE International Information Technology Conference
Sentimental Spidering: Leveraging Opinion Information in Focused Crawlers
ACM Transactions on Information Systems (TOIS)
On the Computational Complexity of Stochastic Controller Optimization in POMDPs
ACM Transactions on Computation Theory (TOCT)
Heuristic algorithms for a particular case of resource-constrained job-scheduling problem
Proceedings of the 13th International Conference on Computer Systems and Technologies
Long Non-Crossing Configurations In The Plane
Fundamenta Informaticae
Reachability In Some Classes Of Acyclic Petri Nets
Fundamenta Informaticae
Reachability In Some Classes Of Acyclic Petri Nets
Fundamenta Informaticae
Approximate Inference In Default Logic And Circumscription
Fundamenta Informaticae
Preserving the mental map using foresighted layout
EGVISSYM'01 Proceedings of the 3rd Joint Eurographics - IEEE TCVG conference on Visualization
Size Constrained Distance Clustering: Separation Properties and Some Complexity Results
Fundamenta Informaticae - From Physics to Computer Science: to Gianpiero Cattaneo for his 70th birthday
Reduced-by-matching Graphs: Toward Simplifying Hamiltonian Circuit Problem
Fundamenta Informaticae
Complexity Issues in Computational Biology
Fundamenta Informaticae - Watching the Daisies Grow: from Biology to Biomathematics and Bioinformatics — Alan Turing Centenary Special Issue
Subgroup Switching of Skew Gain Graphs
Fundamenta Informaticae - Words, Graphs, Automata, and Languages; Special Issue Honoring the 60th Birthday of Professor Tero Harju
Fundamenta Informaticae - Emergent Computing
A new approach to the job scheduling problem in computational grids
Cluster Computing
An intermodal multicommodity routing problem with scheduled services
Computational Optimization and Applications
Programming and evolving physical self-assembling systems in three dimensions
Natural Computing: an international journal
Journal of Grid Computing
GA based adaptive sampling for image-based walkthrough
EGVE'06 Proceedings of the 12th Eurographics conference on Virtual Environments
An efficient graph-based symbol recognizer
SBM'06 Proceedings of the Third Eurographics conference on Sketch-Based Interfaces and Modeling
Subgraph extraction and metaheuristics for the maximum clique problem
Journal of Heuristics
Stability and delay of distributed scheduling algorithms for networks of conflicting queues
Queueing Systems: Theory and Applications
The Maximum Degree & Diameter-Bounded Subgraph and its Applications
Journal of Mathematical Modelling and Algorithms
The total {k}-domatic number of wheels and complete graphs
Journal of Combinatorial Optimization
VCM: the vector-based coloring method for grid wireless ad hoc and sensor networks
Proceedings of the 15th ACM international conference on Modeling, analysis and simulation of wireless and mobile systems
Traffic-aware channel width adaptation in long-distance 802.11 mesh networks
Proceedings of the 15th ACM international conference on Modeling, analysis and simulation of wireless and mobile systems
Solving limited memory influence diagrams
Journal of Artificial Intelligence Research
Tractable triangles and cross-free convexity in discrete optimisation
Journal of Artificial Intelligence Research
Domain and function: a dual-space model of semantic relations and compositions
Journal of Artificial Intelligence Research
Connected dominating sets on dynamic geometric graphs
Computational Geometry: Theory and Applications
Deadline-constrained workflow scheduling algorithms for Infrastructure as a Service Clouds
Future Generation Computer Systems
Extracting elite pairwise constraints for clustering
Neurocomputing
Theoretical Computer Science
Energy-efficient deadline scheduling for heterogeneous systems
Journal of Parallel and Distributed Computing
Determining the Currency of Data
ACM Transactions on Database Systems (TODS)
Algorithms and complexity for periodic real-time scheduling
ACM Transactions on Algorithms (TALG)
Polynomial kernels for dominating set in graphs of bounded degeneracy and beyond
ACM Transactions on Algorithms (TALG)
Efficient tree-based approximation for entailment graph learning
ACL '12 Proceedings of the 50th Annual Meeting of the Association for Computational Linguistics: Long Papers - Volume 1
Learning to map into a universal POS tagset
EMNLP-CoNLL '12 Proceedings of the 2012 Joint Conference on Empirical Methods in Natural Language Processing and Computational Natural Language Learning
Generalized resource allocation for the cloud
Proceedings of the Third ACM Symposium on Cloud Computing
Handover cost optimization in traffic management for multi-homed mobile networks
UIC'07 Proceedings of the 4th international conference on Ubiquitous Intelligence and Computing
Foundations of rule-based query answering
RW'07 Proceedings of the Third international summer school conference on Reasoning Web
A largest common d-dimensional subsequence of two d-dimensional strings
FCT'07 Proceedings of the 16th international conference on Fundamentals of Computation Theory
Multi-dimensional packing with conflicts
FCT'07 Proceedings of the 16th international conference on Fundamentals of Computation Theory
FCT'07 Proceedings of the 16th international conference on Fundamentals of Computation Theory
The computational complexity of monotonicity in probabilistic networks
FCT'07 Proceedings of the 16th international conference on Fundamentals of Computation Theory
Fast asymptotic FPTAS for packing fragmentable items with costs
FCT'07 Proceedings of the 16th international conference on Fundamentals of Computation Theory
Cooperation in multi-organization scheduling
Euro-Par'07 Proceedings of the 13th international Euro-Par conference on Parallel Processing
Optimization for real-time systems with non-convex power versus speed models
PATMOS'07 Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation
Haplotype inference via hierarchical genotype parsing
WABI'07 Proceedings of the 7th international conference on Algorithms in Bioinformatics
A graph clustering approach to weak motif recognition
WABI'07 Proceedings of the 7th international conference on Algorithms in Bioinformatics
Computability of models for sequence assembly
WABI'07 Proceedings of the 7th international conference on Algorithms in Bioinformatics
Efficient and accurate construction of genetic linkage maps from noisy and missing genotyping data
WABI'07 Proceedings of the 7th international conference on Algorithms in Bioinformatics
Towards hierarchical clustering
CSR'07 Proceedings of the Second international conference on Computer Science: theory and applications
MFCS'07 Proceedings of the 32nd international conference on Mathematical Foundations of Computer Science
Uncover low degree vertices and minimise the mess: independent sets in random regular graphs
MFCS'07 Proceedings of the 32nd international conference on Mathematical Foundations of Computer Science
New approximability results for 2-dimensional packing problems
MFCS'07 Proceedings of the 32nd international conference on Mathematical Foundations of Computer Science
Packing and squeezing subgraphs into planar graphs
MFCS'07 Proceedings of the 32nd international conference on Mathematical Foundations of Computer Science
On the complexity of game isomorphism
MFCS'07 Proceedings of the 32nd international conference on Mathematical Foundations of Computer Science
Approximate discovery of random graphs
SAGA'07 Proceedings of the 4th international conference on Stochastic Algorithms: foundations and applications
Exploring routing with multiple Quality of Service parameters in High-Speed Networks
Proceedings of the Second International Conference on Computational Science, Engineering and Information Technology
A M2MC based approach for mapping two ADL models
Proceedings of the Second International Conference on Computational Science, Engineering and Information Technology
Reduct generation of microarray dataset using rough set and graph theory for unsupervised learning
Proceedings of the Second International Conference on Computational Science, Engineering and Information Technology
CSP, cooperative service provisioning using peer-to-peer principles
IWSOS'07 Proceedings of the Second international conference on Self-Organizing Systems
Fragmentation and encryption to enforce privacy in data storage
ESORICS'07 Proceedings of the 12th European conference on Research in Computer Security
Processing compressed texts: a tractability border
CPM'07 Proceedings of the 18th annual conference on Combinatorial Pattern Matching
Longest common separable pattern among permutations
CPM'07 Proceedings of the 18th annual conference on Combinatorial Pattern Matching
FSE'07 Proceedings of the 14th international conference on Fast Software Encryption
Sharp tractability borderlines for finding connected motifs in vertex-colored graphs
ICALP'07 Proceedings of the 34th international conference on Automata, Languages and Programming
Parameterized algorithms for directed maximum leaf problems
ICALP'07 Proceedings of the 34th international conference on Automata, Languages and Programming
Linear problem kernels for NP-hard problems on planar graphs
ICALP'07 Proceedings of the 34th international conference on Automata, Languages and Programming
Improved exact algorithms for counting 3- and 4-colorings
COCOON'07 Proceedings of the 13th annual international conference on Computing and Combinatorics
An improved exact algorithm for cubic graph TSP
COCOON'07 Proceedings of the 13th annual international conference on Computing and Combinatorics
Scheduling selfish tasks: about the performance of truthful algorithms
COCOON'07 Proceedings of the 13th annual international conference on Computing and Combinatorics
A randomized approximation algorithm for parameterized 3-D matching counting problem
COCOON'07 Proceedings of the 13th annual international conference on Computing and Combinatorics
Linear algorithm for broadcasting in unicyclic graphs
COCOON'07 Proceedings of the 13th annual international conference on Computing and Combinatorics
Priority algorithms for the subset-sum problem
COCOON'07 Proceedings of the 13th annual international conference on Computing and Combinatorics
Improved algorithms for weighted and unweighted set splitting problems
COCOON'07 Proceedings of the 13th annual international conference on Computing and Combinatorics
Computing through gene assembly
UC'07 Proceedings of the 6th international conference on Unconventional Computation
A stab at approximating minimum subadditive join
WADS'07 Proceedings of the 10th international conference on Algorithms and Data Structures
Flooding countries and destroying dams
WADS'07 Proceedings of the 10th international conference on Algorithms and Data Structures
Improved results for a memory allocation problem
WADS'07 Proceedings of the 10th international conference on Algorithms and Data Structures
Kernelization algorithms for d-hitting set problems
WADS'07 Proceedings of the 10th international conference on Algorithms and Data Structures
Approximate shortest paths guided by a small index
WADS'07 Proceedings of the 10th international conference on Algorithms and Data Structures
ISPA'07 Proceedings of the 5th international conference on Parallel and Distributed Processing and Applications
Making your interests follow you on twitter
Proceedings of the 21st ACM international conference on Information and knowledge management
Delineating social network data anonymization via random edge perturbation
Proceedings of the 21st ACM international conference on Information and knowledge management
Scheduling of deteriorating jobs with release dates to minimize the maximum lateness
Theoretical Computer Science
Planning and scheduling a fleet of rigs using simulation-optimization
Computers and Industrial Engineering
Fast and scalable approximate spectral graph matching for correspondence problems
Information Sciences: an International Journal
PROBE-A multicriteria decision support system for portfolio robustness evaluation
Decision Support Systems
Equitable colorings of planar graphs without short cycles
Theoretical Computer Science
Structural Search and Optimization in Social Networks
INFORMS Journal on Computing
Proceedings of the 2012 ACM conference on Internet measurement conference
On the L(h, k)-labeling of co-comparability graphs
ESCAPE'07 Proceedings of the First international conference on Combinatorics, Algorithms, Probabilistic and Experimental Methodologies
Call control and routing in SONET rings
ESCAPE'07 Proceedings of the First international conference on Combinatorics, Algorithms, Probabilistic and Experimental Methodologies
On-line bin packing with arbitrary release times
ESCAPE'07 Proceedings of the First international conference on Combinatorics, Algorithms, Probabilistic and Experimental Methodologies
Parallel solution of the subset-sum problem: an empirical study
Concurrency and Computation: Practice & Experience
Using XQuery for problem solving
Software—Practice & Experience
On reconfiguration of disks in the plane and related problems
Computational Geometry: Theory and Applications
Automation and Remote Control
Correlation-aware multipath selection to enhance path diversity in ubiquitous computing environment
International Journal of Ad Hoc and Ubiquitous Computing
The hardness of counting full words compatible with partial words
Journal of Computer and System Sciences
Bin packing with fixed number of bins revisited
Journal of Computer and System Sciences
Live and learn from mistakes: A lightweight system for document classification
Information Processing and Management: an International Journal
Efficient approximation algorithms for the routing open shop problem
Computers and Operations Research
Advances in Engineering Software
Hybrid metaheuristic algorithms for minimum weight dominating set
Applied Soft Computing
Combined heuristics for synthesis of SOCs with time and power constraints
Computers and Electrical Engineering
Parallel genetic algorithms for DVS scheduling of distributed embedded systems
HPCC'07 Proceedings of the Third international conference on High Performance Computing and Communications
HPCC'07 Proceedings of the Third international conference on High Performance Computing and Communications
Synchronization of automata with one undefined or ambiguous transition
CIAA'12 Proceedings of the 17th international conference on Implementation and Application of Automata
Mining rules for rewriting states in a transition-based dependency parser
PRICAI'12 Proceedings of the 12th Pacific Rim international conference on Trends in Artificial Intelligence
PPSN'12 Proceedings of the 12th international conference on Parallel Problem Solving from Nature - Volume Part II
Globally optimal closed-surface segmentation for connectomics
ECCV'12 Proceedings of the 12th European conference on Computer Vision - Volume Part III
From graph transformation units via minisat to GrGen.NET
AGTIVE'11 Proceedings of the 4th international conference on Applications of Graph Transformations with Industrial Relevance
ICA3PP'12 Proceedings of the 12th international conference on Algorithms and Architectures for Parallel Processing - Volume Part I
SParTSim: A Space Partitioning Guided by Road Network for Distributed Traffic Simulations
DS-RT '12 Proceedings of the 2012 IEEE/ACM 16th International Symposium on Distributed Simulation and Real Time Applications
Time-dependent route planning with generalized objective functions
ESA'12 Proceedings of the 20th Annual European conference on Algorithms
Better bounds for graph bisection
ESA'12 Proceedings of the 20th Annual European conference on Algorithms
On the complexity of metric dimension
ESA'12 Proceedings of the 20th Annual European conference on Algorithms
Parameterized complexity of induced h-matching on claw-free graphs
ESA'12 Proceedings of the 20th Annual European conference on Algorithms
ESA'12 Proceedings of the 20th Annual European conference on Algorithms
FPT algorithms for domination in biclique-free graphs
ESA'12 Proceedings of the 20th Annual European conference on Algorithms
A constraint programming approach for the traveling purchaser problem
CP'12 Proceedings of the 18th international conference on Principles and Practice of Constraint Programming
An efficiently computable support measure for frequent subgraph pattern mining
ECML PKDD'12 Proceedings of the 2012 European conference on Machine Learning and Knowledge Discovery in Databases - Volume Part I
European Journal of Combinatorics
Fully decomposable split graphs
European Journal of Combinatorics
Three complexity results on coloring Pk-free graphs
European Journal of Combinatorics
Zero-Knowledge for multivariate polynomials
LATINCRYPT'12 Proceedings of the 2nd international conference on Cryptology and Information Security in Latin America
Distributed computing with prokaryotic immune systems
ICARIS'12 Proceedings of the 11th international conference on Artificial Immune Systems
IPEC'12 Proceedings of the 7th international conference on Parameterized and Exact Computation
Fast monotone summation over disjoint sets
IPEC'12 Proceedings of the 7th international conference on Parameterized and Exact Computation
An improved kernel for the undirected planar feedback vertex set problem
IPEC'12 Proceedings of the 7th international conference on Parameterized and Exact Computation
Efficient implementations of MQPKS on constrained devices
CHES'12 Proceedings of the 14th international conference on Cryptographic Hardware and Embedded Systems
A note on developing optimal and scalable parallel two-list algorithms
ICA3PP'12 Proceedings of the 12th international conference on Algorithms and Architectures for Parallel Processing - Volume Part II
Efficient task scheduling for hard real-time tasks in asymmetric multicore processors
ICA3PP'12 Proceedings of the 12th international conference on Algorithms and Architectures for Parallel Processing - Volume Part II
Mining dense structures to uncover anomalous behaviour in financial network data
MSM'11 Proceedings of the 2011 international conference on Modeling and Mining Ubiquitous Social Media
Incremental pseudo rectangular organization of information relative to a domain
RAMiCS'12 Proceedings of the 13th international conference on Relational and Algebraic Methods in Computer Science
Regular inference as vertex coloring
ALT'12 Proceedings of the 23rd international conference on Algorithmic Learning Theory
Data stability in clustering: a closer look
ALT'12 Proceedings of the 23rd international conference on Algorithmic Learning Theory
Self-stabilizing algorithm for maximal graph partitioning into triangles
SSS'12 Proceedings of the 14th international conference on Stabilization, Safety, and Security of Distributed Systems
TCS'12 Proceedings of the 7th IFIP TC 1/WG 202 international conference on Theoretical Computer Science
The algorithmic complexity of k-domatic partition of graphs
TCS'12 Proceedings of the 7th IFIP TC 1/WG 202 international conference on Theoretical Computer Science
The complexity of decision problems about nash equilibria in win-lose games
SAGT'12 Proceedings of the 5th international conference on Algorithmic Game Theory
Congestion games with capacitated resources
SAGT'12 Proceedings of the 5th international conference on Algorithmic Game Theory
Particle Algorithms for Optimization on Binary Spaces
ACM Transactions on Modeling and Computer Simulation (TOMACS) - Special Issue on Monte Carlo Methods in Statistics
New attacks for knapsack based cryptosystems
SCN'12 Proceedings of the 8th international conference on Security and Cryptography for Networks
On the application of SAT solvers to the test suite minimization problem
SSBSE'12 Proceedings of the 4th international conference on Search Based Software Engineering
Large-Scale Signaling Network Reconstruction
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Symmetry Compression Method for Discovering Network Motifs
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Inexact subgraph isomorphism in MapReduce
Journal of Parallel and Distributed Computing
Journal of Parallel and Distributed Computing
Information Sciences: an International Journal
Visualizing the evolution of compound digraphs with TimeArcTrees
EuroVis'09 Proceedings of the 11th Eurographics / IEEE - VGTC conference on Visualization
The longest common subsequence problem with crossing-free arc-annotated sequences
SPIRE'12 Proceedings of the 19th international conference on String Processing and Information Retrieval
Proceedings of the 4th conference on Innovations in Theoretical Computer Science
Efficient algorithms for the periodic subgraphs mining problem
Journal of Discrete Algorithms
Exploring pairwise compatibility graphs
Theoretical Computer Science
Maximum weight independent sets in (P6,co-banner)-free graphs
Information Processing Letters
Algorithmic determination of the maximum possible earnings for investment strategies
Decision Support Systems
Cycle transversals in perfect graphs and cographs
Theoretical Computer Science
t-Plausibility: Generalizing Words to Desensitize Text
Transactions on Data Privacy
Mining frequent subgraphs over uncertain graph databases under probabilistic semantics
The VLDB Journal — The International Journal on Very Large Data Bases
A framework for efficient spatial web object retrieval
The VLDB Journal — The International Journal on Very Large Data Bases
Journal of Intelligent Manufacturing
An agent-based algorithm for personnel shift-scheduling and rescheduling in flexible assembly lines
Journal of Intelligent Manufacturing
Journal of Intelligent and Robotic Systems
An efficient compact quadratic convex reformulation for general integer quadratic programs
Computational Optimization and Applications
A membrane algorithm with quantum-inspired subalgorithms and its application to image processing
Natural Computing: an international journal
Efficient derivation of numerical dependencies
Information Systems
Algorithmic aspects of planning under uncertainty for service delivery organizations
ICSOC'12 Proceedings of the 10th international conference on Service-Oriented Computing
Memory-Efficient index for cache invalidation mechanism with OpenJPA
WISE'12 Proceedings of the 13th international conference on Web Information Systems Engineering
Solutions for the stable roommates problem with payments
WG'12 Proceedings of the 38th international conference on Graph-Theoretic Concepts in Computer Science
WG'12 Proceedings of the 38th international conference on Graph-Theoretic Concepts in Computer Science
Collecting information by power-aware mobile agents
DISC'12 Proceedings of the 26th international conference on Distributed Computing
Complexity of computing distances between geometric trees
SSPR'12/SPR'12 Proceedings of the 2012 Joint IAPR international conference on Structural, Syntactic, and Statistical Pattern Recognition
DisC diversity: result diversification based on dissimilarity and coverage
Proceedings of the VLDB Endowment
Optimizing client assignment for enhancing interactivity in distributed interactive applications
IEEE/ACM Transactions on Networking (TON)
Placing regenerators in optical networks to satisfy multiple sets of requests
IEEE/ACM Transactions on Networking (TON)
On the linear ranking problem for integer linear-constraint loops
POPL '13 Proceedings of the 40th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Fair solutions for some multiagent optimization problems
Autonomous Agents and Multi-Agent Systems
Quantum speed-up for unsupervised learning
Machine Learning
Improving cluster tools performance using colored Petri nets in semiconductor manifacturing
Proceedings of the Winter Simulation Conference
Querying Semantic Data on the Web?
ACM SIGMOD Record
The minimum cost perfect matching problem with conflict pair constraints
Computers and Operations Research
Computers and Operations Research
Information Processing Letters
(Non-)existence of polynomial kernels for the Test Cover problem
Information Processing Letters
Increasing reliability of protein interactome by fast manifold embedding
Pattern Recognition Letters
Learning vector quantization for variable ordering in constraint satisfaction problems
Pattern Recognition Letters
Multiindex transportation problems with 2-embedded structure
Automation and Remote Control
1 canadian forces flying training school (1 CFFTS) resource allocation simulation tool
Proceedings of the Winter Simulation Conference
A multilevel memetic algorithm for large sat-encoded problems
Evolutionary Computation
A Reinforced Tabu Search Approach for 2D Strip Packing
International Journal of Applied Metaheuristic Computing
A revenue management approach for managing operating room capacity
Proceedings of the Winter Simulation Conference
A Study of Tabu Search for Coloring Random 3-Colorable Graphs Around the Phase Transition
International Journal of Applied Metaheuristic Computing
Dynamic Assignment of Crew Reserve in Airlines
International Journal of Applied Metaheuristic Computing
Secure local algorithm for establishing a virtual backbone in 3D ad hoc network
International Journal of Networking and Virtual Organisations
The Stackelberg minimum spanning tree game on planar and bounded-treewidth graphs
Journal of Combinatorial Optimization
A Graphical Tool for Describing the Temporal Evolution of Clusters in Financial Stock Markets
Computational Economics
WINE'12 Proceedings of the 8th international conference on Internet and Network Economics
Distributed protocols for multi-agent coalition formation: a negotiation perspective
AMT'12 Proceedings of the 8th international conference on Active Media Technology
Ant colony optimization for the pareto front approximation in vehicle navigation
ICCCI'12 Proceedings of the 4th international conference on Computational Collective Intelligence: technologies and applications - Volume Part II
A hybrid discrete particle swarm optimization with pheromone for dynamic traveling salesman problem
ICCCI'12 Proceedings of the 4th international conference on Computational Collective Intelligence: technologies and applications - Volume Part II
Proxy signature scheme based on isomorphisms of polynomials
NSS'12 Proceedings of the 6th international conference on Network and System Security
A survey on offline scheduling with rejection
Journal of Scheduling
The tractability of CSP classes defined by forbidden patterns
Journal of Artificial Intelligence Research
A similarity metric method of obfuscated malware using function-call graph
Journal in Computer Virology
A simulated annealing heuristic for minimizing makespan in parallel machine scheduling
SEMCCO'12 Proceedings of the Third international conference on Swarm, Evolutionary, and Memetic Computing
Point-Set embeddability of 2-colored trees
GD'12 Proceedings of the 20th international conference on Graph Drawing
Density theorems for intersection graphs of t-monotone curves
GD'12 Proceedings of the 20th international conference on Graph Drawing
Clustering, visualizing, and navigating for large dynamic graphs
GD'12 Proceedings of the 20th international conference on Graph Drawing
Hidden bits approach for authentication in RFID systems
RFIDSec'12 Proceedings of the 8th international conference on Radio Frequency Identification: security and privacy issues
The complexity of conservative valued CSPs
Journal of the ACM (JACM)
On the determination of inlining vectors for program optimization
CC'13 Proceedings of the 22nd international conference on Compiler Construction
A state-dependent time evolving multi-constraint routing algorithm
ACM Transactions on Autonomous and Adaptive Systems (TAAS)
Job-shop scheduling in a body shop
Journal of Scheduling
Graph 3-coloring with a hybrid self-adaptive evolutionary algorithm
Computational Optimization and Applications
Generation of VNS components with grammatical evolution for vehicle routing
EuroGP'13 Proceedings of the 16th European conference on Genetic Programming
EvoCOP'13 Proceedings of the 13th European conference on Evolutionary Computation in Combinatorial Optimization
Kernel Partitioning of Streaming Applications: A Statistical Approach to an NP-complete Problem
MICRO-45 Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture
Degree constrained minimum spanning tree problem: a learning automata approach
The Journal of Supercomputing
A complexity and approximability study of the bilevel knapsack problem
IPCO'13 Proceedings of the 16th international conference on Integer Programming and Combinatorial Optimization
The complexity of scheduling for p-norms of flow and stretch
IPCO'13 Proceedings of the 16th international conference on Integer Programming and Combinatorial Optimization
Wireless Personal Communications: An International Journal
Journal of Intelligent Manufacturing
Comparable dependencies over heterogeneous data
The VLDB Journal — The International Journal on Very Large Data Bases
Expert Systems with Applications: An International Journal
Computers and Operations Research
Proceedings of the 15th annual conference on Genetic and evolutionary computation
On the dictionary compression for Java card environment
Proceedings of the 16th International Workshop on Software and Compilers for Embedded Systems
Provenance-based dictionary refinement in information extraction
Proceedings of the 2013 ACM SIGMOD International Conference on Management of Data
RTP: robust tenant placement for elastic in-memory database clusters
Proceedings of the 2013 ACM SIGMOD International Conference on Management of Data
NP-completeness and the coevolution of exact set covers
Proceedings of the 15th annual conference on Genetic and evolutionary computation
International Journal of Data Mining and Bioinformatics
A New Graph-Theoretical Model for the Guillotine-Cutting Problem
INFORMS Journal on Computing
Proof systems and transformation games
Annals of Mathematics and Artificial Intelligence
Mixed-Integer Linear Programming Formulations for the Software Clustering Problem
Computational Optimization and Applications
Watching systems in graphs: An extension of identifying codes
Discrete Applied Mathematics
Approximating independent set in perturbed graphs
Discrete Applied Mathematics
Note: The parametric complexity of graph diameter augmentation
Discrete Applied Mathematics
A trichotomy for regular simple path queries on graphs
Proceedings of the 32nd symposium on Principles of database systems
An optimal time algorithm for minimum linear arrangement of chord graphs
Information Sciences: an International Journal
Energy-aware real-time task synchronization in multi-core embedded systems
Proceedings of the 28th Annual ACM Symposium on Applied Computing
Using Generalized Annotated Programs to Solve Social Network Diffusion Optimization Problems
ACM Transactions on Computational Logic (TOCL)
Bounded parallel-batching scheduling with two competing agents
Journal of Scheduling
iBinHunt: binary hunting with inter-procedural control flow
ICISC'12 Proceedings of the 15th international conference on Information Security and Cryptology
Linear recurring sequences for the UOV key generation revisited
ICISC'12 Proceedings of the 15th international conference on Information Security and Cryptology
Faster approximation algorithms for scheduling with fixed jobs
CATS 2011 Proceedings of the Seventeenth Computing on The Australasian Theory Symposium - Volume 119
Information Sciences: an International Journal
Computers and Operations Research
Computers and Operations Research
Exploratory mining of collaborative social content
Proceedings of the 2013 Sigmod/PODS Ph.D. symposium on PhD symposium
On the parameterized complexity of dominant strategies
ACSC '12 Proceedings of the Thirty-fifth Australasian Computer Science Conference - Volume 122
Bin Packing via Discrepancy of Permutations
ACM Transactions on Algorithms (TALG) - Special Issue on SODA'11
Prioritized evolutionary optimization in open session management for 3D tele-immersion
Proceedings of the 4th ACM Multimedia Systems Conference
A Reference Point Approach for the Resource Constrained Shortest Path Problems
Transportation Science
Reduction of economic inequality in combinatorial domains
Proceedings of the 2013 international conference on Autonomous agents and multi-agent systems
Matchings with externalities and attitudes
Proceedings of the 2013 international conference on Autonomous agents and multi-agent systems
The complexity of losing voters
Proceedings of the 2013 international conference on Autonomous agents and multi-agent systems
Strategic considerations in the design of committees
Proceedings of the 2013 international conference on Autonomous agents and multi-agent systems
Accurate QBF-based test pattern generation in presence of unknown values
Proceedings of the Conference on Design, Automation and Test in Europe
Privacy-preserving smart metering with multiple data Consumers
Computer Networks: The International Journal of Computer and Telecommunications Networking
Efficiently gathering information in costly domains
Decision Support Systems
Repetition-aware content placement in navigational networks
Proceedings of the 19th ACM SIGKDD international conference on Knowledge discovery and data mining
The bang for the buck: fair competitive viral marketing from the host perspective
Proceedings of the 19th ACM SIGKDD international conference on Knowledge discovery and data mining
Mining structural hole spanners through information diffusion in social networks
Proceedings of the 22nd international conference on World Wide Web
The complexity of finite-valued CSPs
Proceedings of the forty-fifth annual ACM symposium on Theory of computing
Mapping on multi/many-core systems: survey of current and emerging trends
Proceedings of the 50th Annual Design Automation Conference
Comparison of heuristics for the colourful travelling salesman problem
International Journal of Metaheuristics
State of the art: Dynamic symbolic execution for automated test generation
Future Generation Computer Systems
Planning oncologists of ambulatory care units
Decision Support Systems
Approximations for the Two-Machine Cross-Docking Flow Shop Problem
Discrete Applied Mathematics
On a generalized model of labeled graphs
Discrete Applied Mathematics
Two hardness results for core stability in hedonic coalition formation games
Discrete Applied Mathematics
Equality of domination and transversal numbers in hypergraphs
Discrete Applied Mathematics
Bin covering with cardinality constraints
Discrete Applied Mathematics
New bounds and constraint propagation techniques for the clique partitioning problem
Discrete Applied Mathematics
Computing a minimum outer-connected dominating set for the class of chordal graphs
Information Processing Letters
Incremental graph pattern matching
ACM Transactions on Database Systems (TODS)
The optimal fan-out of clock network for power minimization by adaptive gating
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
ILP formulations for variation/defect-tolerant logic mapping on crossbar nano-architectures
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Proceedings of the 2013 IEEE/ACM International Conference on Advances in Social Networks Analysis and Mining
Recovering information recipients in social media via provenance
Proceedings of the 2013 IEEE/ACM International Conference on Advances in Social Networks Analysis and Mining
An O( n2)-time algorithm for the minimal interval completion problem
Theoretical Computer Science
Model-checking games for logics of imperfect information
Theoretical Computer Science
International Journal of Grid and Utility Computing
Controlling opinion propagation in online networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
SLA-aware resource over-commit in an IaaS cloud
Proceedings of the 8th International Conference on Network and Service Management
Proceedings of the 46th Annual Simulation Symposium
Verification of initial-state opacity in security applications of discrete event systems
Information Sciences: an International Journal
The complexity of two supply chain scheduling problems
Information Processing Letters
On the connection between Hamming codes, Heapsort and other methods
Information Processing Letters
Journal of Discrete Algorithms
Complexity analysis and algorithm design for advance bandwidth scheduling in dedicated networks
IEEE/ACM Transactions on Networking (TON)
Time-bounded essential localization for wireless sensor networks
IEEE/ACM Transactions on Networking (TON)
An effective shaking procedure for 2D and 3D strip packing problems
Computers and Operations Research
An efficient implementation of the Min-Min heuristic
Computers and Operations Research
Network interdiction via a Critical Disruption Path: Branch-and-Price algorithms
Computers and Operations Research
A polynomial case of the cardinality-constrained quadratic optimization problem
Journal of Global Optimization
The Energy-Aware Operational Time of Wireless Ad-Hoc Sensor Networks
Mobile Networks and Applications
QoS-aware placement of stream processing service
The Journal of Supercomputing
The three-dimensional matching problem in Kalmanson matrices
Journal of Combinatorial Optimization
Embeddings of circulant networks
Journal of Combinatorial Optimization
Generating informative snippet to maximize item visibility
Proceedings of the 22nd ACM international conference on Conference on information & knowledge management
G-tree: an efficient index for KNN search on road networks
Proceedings of the 22nd ACM international conference on Conference on information & knowledge management
Computational Optimization and Applications
Decomposing combinatorial auctions and set packing problems
Journal of the ACM (JACM)
Using TF-IDF to hide sensitive itemsets
Applied Intelligence
Loop invariants: Analysis, classification, and examples
ACM Computing Surveys (CSUR)
A hybrid metaheuristic approach for the capacitated p-median problem
Applied Soft Computing
Software model synthesis using satisfiability solvers
Empirical Software Engineering
A note on reverse scheduling with maximum lateness objective
Journal of Scheduling
A study of single-machine scheduling problem to maximize throughput
Journal of Scheduling
Journal of Scheduling
Using maximum coverage to optimize recommendation systems in e-commerce
Proceedings of the 7th ACM conference on Recommender systems
Efficient algorithm for serial data fusion in wireless sensor networks
Proceedings of the 16th ACM international conference on Modeling, analysis & simulation of wireless and mobile systems
Efficient relay deployment for controlling connectivity in delay tolerant mobile networks
Proceedings of the 16th ACM international conference on Modeling, analysis & simulation of wireless and mobile systems
Computational Optimization and Applications
Detecting machine-morphed malware variants via engine attribution
Journal in Computer Virology
Improved bitwidth-aware variable packing
ACM Transactions on Architecture and Code Optimization (TACO)
Metaheuristics for robust graph coloring
Journal of Heuristics
On characterization of maximal independent sets via quadratic optimization
Journal of Heuristics
Quadratic bottleneck knapsack problems
Journal of Heuristics
Elementary landscape decomposition of the 0-1 unconstrained quadratic optimization
Journal of Heuristics
Backbone guided tabu search for solving the UBQP problem
Journal of Heuristics
Parameterized complexity results for exact bayesian network structure learning
Journal of Artificial Intelligence Research
NuMVC: an efficient local search algorithm for minimum vertex cover
Journal of Artificial Intelligence Research
Hierarchical community decomposition via oblivious routing techniques
Proceedings of the first ACM conference on Online social networks
A super-stabilizing log(n)-approximation algorithm for dynamic Steiner trees
Theoretical Computer Science
The complexity of the stamp folding problem
Theoretical Computer Science
Cooperating to buy shoes: an application of picking cycles in directed graphs
Proceedings of the South African Institute for Computer Scientists and Information Technologists Conference
Computational complexity of string puzzles
CATS '12 Proceedings of the Eighteenth Computing: The Australasian Theory Symposium - Volume 128
On defining and computing communities
CATS '12 Proceedings of the Eighteenth Computing: The Australasian Theory Symposium - Volume 128
On the complexity of the DNA simplified partial digest problem
CATS '06 Proceedings of the Twelfth Computing: The Australasian Theory Symposium - Volume 51
Generating multi-objective optimized business process enactment plans
CAiSE'13 Proceedings of the 25th international conference on Advanced Information Systems Engineering
GeoTruCrowd: trustworthy query answering with spatial crowdsourcing
Proceedings of the 21st ACM SIGSPATIAL International Conference on Advances in Geographic Information Systems
Analysis and evaluation of the slugging form of ridesharing
Proceedings of the 21st ACM SIGSPATIAL International Conference on Advances in Geographic Information Systems
VICUS: a noise addition technique for categorical data
AusDM '12 Proceedings of the Tenth Australasian Data Mining Conference - Volume 134
ACSC '13 Proceedings of the Thirty-Sixth Australasian Computer Science Conference - Volume 135
Computational complexity for uniform orientation Steiner tree problems
ACSC '13 Proceedings of the Thirty-Sixth Australasian Computer Science Conference - Volume 135
ADC '13 Proceedings of the Twenty-Fourth Australasian Database Conference - Volume 137
Duty-cycle-aware minimum-energy multicasting in wireless sensor networks
IEEE/ACM Transactions on Networking (TON)
ECSQARU'13 Proceedings of the 12th European conference on Symbolic and Quantitative Approaches to Reasoning with Uncertainty
Structure approximation of most probable explanations in bayesian networks
ECSQARU'13 Proceedings of the 12th European conference on Symbolic and Quantitative Approaches to Reasoning with Uncertainty
On the extension complexity of combinatorial polytopes
ICALP'13 Proceedings of the 40th international conference on Automata, Languages, and Programming - Volume Part I
Recent advances for a classical scheduling problem
ICALP'13 Proceedings of the 40th international conference on Automata, Languages, and Programming - Volume Part II
Efficient computation of balanced structures
ICALP'13 Proceedings of the 40th international conference on Automata, Languages, and Programming - Volume Part II
A refined complexity analysis of degree anonymization in graphs
ICALP'13 Proceedings of the 40th international conference on Automata, Languages, and Programming - Volume Part II
On the weak computability of a four dimensional orthogonal packing and time scheduling problem
Theoretical Computer Science
Theoretical Computer Science
2-edge-Hamiltonian-connectedness of 4-connected plane graphs
European Journal of Combinatorics
Maximum-throughput mapping of SDFGs on multi-core SoC platforms
Journal of Parallel and Distributed Computing
Complexity issues related to propagation completeness
Artificial Intelligence
A linear time algorithm for liar's domination problem in proper interval graphs
Information Processing Letters
Boolean functions with long prime implicants
Information Processing Letters
Strong simulation: Capturing topology in graph pattern matching
ACM Transactions on Database Systems (TODS)
Bundling three convex polygons to minimize area or perimeter
WADS'13 Proceedings of the 13th international conference on Algorithms and Data Structures
Smart-Grid electricity allocation via strip packing with slicing
WADS'13 Proceedings of the 13th international conference on Algorithms and Data Structures
Interval selection with machine-dependent intervals
WADS'13 Proceedings of the 13th international conference on Algorithms and Data Structures
On explaining integer vectors by few homogenous segments
WADS'13 Proceedings of the 13th international conference on Algorithms and Data Structures
Approximation algorithms for B 1-EPG graphs
WADS'13 Proceedings of the 13th international conference on Algorithms and Data Structures
Planar packing of binary trees
WADS'13 Proceedings of the 13th international conference on Algorithms and Data Structures
Neighborhood-Preserving mapping between trees
WADS'13 Proceedings of the 13th international conference on Algorithms and Data Structures
Bounding the running time of algorithms for scheduling and packing problems
WADS'13 Proceedings of the 13th international conference on Algorithms and Data Structures
High-Level counterexamples for probabilistic automata
QEST'13 Proceedings of the 10th international conference on Quantitative Evaluation of Systems
Ontology-based data access with databases: a short course
RW'13 Proceedings of the 9th international conference on Reasoning Web: semantic technologies for intelligent data access
Complexity of finding maximum regular induced subgraphs with prescribed degree
FCT'13 Proceedings of the 19th international conference on Fundamentals of Computation Theory
Locally constrained homomorphisms on graphs of bounded treewidth and bounded degree
FCT'13 Proceedings of the 19th international conference on Fundamentals of Computation Theory
Cancellation-Free circuits in unbounded and bounded depth
FCT'13 Proceedings of the 19th international conference on Fundamentals of Computation Theory
Optimal resource assignment in workflows for maximizing cooperation
BPM'13 Proceedings of the 11th international conference on Business Process Management
Joint optimization of overlapping phases in MapReduce
Performance Evaluation
How many attackers can selfish defenders catch?
Discrete Applied Mathematics
On dominating sets whose induced subgraphs have a bounded diameter
Discrete Applied Mathematics
Generalized degeneracy, dynamic monopolies and maximum degenerate subgraphs
Discrete Applied Mathematics
Optimising sum-of-squares measures for clustering multisets defined over a metric space
Discrete Applied Mathematics
Fault-tolerant scheduling in homogeneous real-time systems
ACM Computing Surveys (CSUR)
Web hosting with statistical capacity guarantee
Information Sciences: an International Journal
On rainbow domination numbers of graphs
Information Sciences: an International Journal
Approximation algorithms for load-balanced virtual backbone construction in wireless sensor networks
Theoretical Computer Science
Feedback vertex sets on restricted bipartite graphs
Theoretical Computer Science
Maximum matching in multi-interface networks
Theoretical Computer Science
Bitlist: new full-text index for low space cost and efficient keyword search
Proceedings of the VLDB Endowment
Combining multi-core and GPU computing for solving combinatorial optimization problems
Journal of Parallel and Distributed Computing
Energy-efficient scheduling on multi-FPGA reconfigurable systems
Microprocessors & Microsystems
Minimizing resource consumption on uniform parallel machines with a bound on makespan
Computers and Operations Research
Several flow shop scheduling problems with truncated position-based learning effect
Computers and Operations Research
Permutation flow-shop scheduling using a hybrid differential evolution algorithm
International Journal of Computing Science and Mathematics
Exact scalable sensitivity analysis for the next release problem
ACM Transactions on Software Engineering and Methodology (TOSEM)
Consistent thinning of large geographical data for map visualization
ACM Transactions on Database Systems (TODS) - Invited papers issue
Are there any nicely structured preference profiles nearby?
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Control complexity of schulze voting
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Fully proportional representation as resource allocation: approximability results
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Multiwinner elections under preferences that are single-peaked on a tree
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Search strategies for optimal multi-way number partitioning
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Improved bin completion for optimal bin packing and number partitioning
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Transition constraints: a study on the computational complexity of qualitative change
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Deep sparse coding based recursive disaggregation model for water conservation
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Efficient Multiview Maintenance under Insertion in Huge Social Networks
ACM Transactions on the Web (TWEB)
Research paper: Plagiarism Detection for Haskell with Holmes
Proceedings of the 3rd Computer Science Education Research Conference on Computer Science Education Research
Parameterized edge dominating set in graphs with degree bounded by 3
Theoretical Computer Science
Relative localization for wireless sensor networks with linear topology
Computer Communications
Data reduction for graph coloring problems
Information and Computation
Mathematical modeling for optimal design of in-building distributed antenna systems
Computer Networks: The International Journal of Computer and Telecommunications Networking
A decomposition method for CNF minimality proofs
Theoretical Computer Science
On the Carathéodory number of interval and graph convexities
Theoretical Computer Science
On the area requirements of Euclidean minimum spanning trees
Computational Geometry: Theory and Applications
OSM: Prioritized evolutionary QoS optimization for interactive 3D teleimmersion
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP) - Special issue of best papers of ACM MMSys 2013 and ACM NOSSDAV 2013
Energy-aware task mapping and scheduling for reliable embedded computing systems
ACM Transactions on Embedded Computing Systems (TECS) - Special Section ESFH'12, ESTIMedia'11 and Regular Papers
Minimizing code size via page selection optimization on partitioned memory architectures
Proceedings of the 2013 International Conference on Compilers, Architectures and Synthesis for Embedded Systems
Remarks on history and presence of game tree search and research
Information Theory, Combinatorics, and Search Theory
Summarizing answer graphs induced by keyword queries
Proceedings of the VLDB Endowment
A Bayesian approach to assessing expected utility in the simulation decision
Proceedings of the 2013 Summer Computer Simulation Conference
Optimization problem of allocating limited project resources with separable constraints
Cybernetics and Systems Analysis
Natural Computing: an international journal
Amorphous computing: examples, mathematics and theory
Natural Computing: an international journal
Performance tradeoffs of energy-aware virtual machine consolidation
Cluster Computing
The topological drawing of a graph: Construction methods
Automation and Remote Control
Applying local search to the feedback vertex set problem
Journal of Heuristics
Customized tour recommendations in urban areas
Proceedings of the 7th ACM international conference on Web search and data mining
A cutting-plane algorithm for solving a weighted influence interdiction problem
Computational Optimization and Applications
Normalized Range Voting Broadly Resists Control
Theory of Computing Systems
On Enumerating Monomials and Other Combinatorial Structures by Polynomial Interpolation
Theory of Computing Systems
Motion Planning with Pulley, Rope, and Baskets
Theory of Computing Systems
Compact DSOP and Partial DSOP Forms
Theory of Computing Systems
A study on unroutable placement recognition
Proceedings of the 2014 on International symposium on physical design
Journal of Intelligent Manufacturing
Approximation algorithms for the ring loading problem with penalty cost
Information Processing Letters
The deterministic product location problem under a pick-by-order policy
Discrete Applied Mathematics
Algorithms for unipolar and generalized split graphs
Discrete Applied Mathematics
Discrete Applied Mathematics
Lower bounds for treewidth of product graphs
Discrete Applied Mathematics
Optimal ordering of independent tests with precedence constraints
Discrete Applied Mathematics
Preprocessing subgraph and minor problems: When does a small vertex cover help?
Journal of Computer and System Sciences
Throughput-optimal resource allocation in LTE-Advanced with distributed antennas
Computer Networks: The International Journal of Computer and Telecommunications Networking
Improved linear problem kernel for planar connected dominating set
Theoretical Computer Science
Fast dynamic programming for locally checkable vertex subset and vertex partitioning problems
Theoretical Computer Science
Kernel bounds for path and cycle problems
Theoretical Computer Science
New parameterized algorithms for the edge dominating set problem
Theoretical Computer Science
Parameterized complexity of vertex deletion into perfect graph classes
Theoretical Computer Science
Proceedings of the International Conference on Computer-Aided Design
Optimization of polymerase chain reaction on a cyberphysical digital microfluidic biochip
Proceedings of the International Conference on Computer-Aided Design
Incremental multiple-scan chain ordering for ECO flip-flop insertion
Proceedings of the International Conference on Computer-Aided Design
Exploring the design space of programmable regular expression matching accelerators
Journal of Systems Architecture: the EUROMICRO Journal
Energy and buffer aware application mapping for networks-on-chip with self similar traffic
Journal of Systems Architecture: the EUROMICRO Journal
The classroom assignment problem: Complexity, size reduction and heuristics
Applied Soft Computing
Deciding the winner in k rounds for DISJOINT ARROWS, a new combinatorial partizan game
Theoretical Computer Science
Note: Optimizing tree and character compatibility across several phylogenetic trees
Theoretical Computer Science
Communication and migration energy aware task mapping for reliable multiprocessor systems
Future Generation Computer Systems
A discrete gravitational search algorithm for solving combinatorial optimization problems
Information Sciences: an International Journal
On defensive alliances and strong global offensive alliances
Discrete Applied Mathematics
Scheduling arc maintenance jobs in a network to maximize total flow over time
Discrete Applied Mathematics
Identifying path covers in graphs
Journal of Discrete Algorithms
Pattern mining of cloned codes in software systems
Information Sciences: an International Journal
Extending inclusion dependencies with conditions
Theoretical Computer Science
A holonic approach to flexible flow shop scheduling under stochastic processing times
Computers and Operations Research
On atomic structure of P5-free subclasses and Maximum Weight Independent Set problem
Theoretical Computer Science
On approximating metric 1-median in sublinear time
Information Processing Letters
Computers and Operations Research
A branch-and-bound algorithm for assembly line worker assignment and balancing problems
Computers and Operations Research
The complexity of manipulative attacks in nearly single-peaked electorates
Artificial Intelligence
Nondeterministic automata: Equivalence, bisimulations, and uniform relations
Information Sciences: an International Journal
On the approximability of the link building problem
Theoretical Computer Science
Critical-path-aware high-level synthesis with distributed controller for fast timing closure
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Sharing rewards in cooperative connectivity games
Journal of Artificial Intelligence Research
On the computation of fully proportional representation
Journal of Artificial Intelligence Research
A refined view of causal graphs and component sizes: SP-closed graph classes and beyond
Journal of Artificial Intelligence Research
Polynomial recognition of equal unions in hypergraphs with few vertices of large degree
Journal of Discrete Algorithms
A note on the complexity of minimum dominating set
Journal of Discrete Algorithms
The complexity of minimum difference cover
Journal of Discrete Algorithms
Crossing-constrained hierarchical drawings
Journal of Discrete Algorithms
Watchman routes for lines and line segments
Computational Geometry: Theory and Applications
Envy-free pricing in multi-item markets
ACM Transactions on Algorithms (TALG)
UNO is hard, even for a single player
Theoretical Computer Science
Privacy-preserving and verifiable protocols for scientific computation outsourcing to the cloud
Journal of Parallel and Distributed Computing
Technical Section: PLATO: A visual analytics system for gameplay data
Computers and Graphics
A hybrid metaheuristic for multiobjective unconstrained binary quadratic programming
Applied Soft Computing
Computing and counting longest paths on circular-arc graphs in polynomial time
Discrete Applied Mathematics
Contracting chordal graphs and bipartite graphs to paths and trees
Discrete Applied Mathematics
Characterizing acyclic graphs by labeling edges
Discrete Applied Mathematics
Discrete Applied Mathematics
Quorum-based mutual exclusion in asynchronous distributed systems with unreliable failure detectors
The Journal of Supercomputing
Autonomous Agents and Multi-Agent Systems
On construction of quality fault-tolerant virtual backbone in wireless networks
IEEE/ACM Transactions on Networking (TON)
Compressed data aggregation: energy-efficient and high-fidelity data collection
IEEE/ACM Transactions on Networking (TON)
Predicate-aware, makespan-preserving software pipelining of scheduling tables
ACM Transactions on Architecture and Code Optimization (TACO)
Binary Accelerated Particle Swarm Algorithm (BAPSA) for discrete optimization problems
Journal of Global Optimization
Solving the maximum edge biclique packing problem on unbalanced bipartite graphs
Discrete Applied Mathematics
A note on the Clustered Set Covering Problem
Discrete Applied Mathematics
The location-dispatching problem: Polyhedral results and content delivery network design
Discrete Applied Mathematics
On Minimum Reload Cost Cycle Cover
Discrete Applied Mathematics
Using local similarity measures to efficiently address approximate graph matching
Discrete Applied Mathematics
Scheduling problem with multi-purpose parallel machines
Discrete Applied Mathematics
Networks of polarized evolutionary processors
Information Sciences: an International Journal
Computing the differential of a graph: Hardness, approximability and exact algorithms
Discrete Applied Mathematics
A convexity upper bound for the number of maximal bicliques of a bipartite graph
Discrete Applied Mathematics
The maximum vertex coverage problem on bipartite graphs
Discrete Applied Mathematics
Approximating 2-cliques in unit disk graphs
Discrete Applied Mathematics
Discrete Applied Mathematics
Complexity results for rainbow matchings
Theoretical Computer Science
On pairwise compatibility graphs having Dilworth number two
Theoretical Computer Science
Contrasting meta-learning and hyper-heuristic research: the role of evolutionary algorithms
Genetic Programming and Evolvable Machines
A backbone based TSP heuristic for large instances
Journal of Heuristics
Personal and Ubiquitous Computing
Anytime approximation in probabilistic databases
The VLDB Journal — The International Journal on Very Large Data Bases
Approximating the Minimum Length of Synchronizing Words Is Hard
Theory of Computing Systems
Improvements to MCS algorithm for the maximum clique problem
Journal of Combinatorial Optimization
Generalized perfect domination in graphs
Journal of Combinatorial Optimization
Scheduling modular projects on a bottleneck resource
Journal of Scheduling
A cutting and scheduling problem in float glass manufacturing
Journal of Scheduling
Journal of Intelligent Manufacturing
Unshuffling a square is NP-hard
Journal of Computer and System Sciences
Electronic Notes in Theoretical Computer Science (ENTCS)
Distance-$$d$$ independent set problems for bipartite and chordal graphs
Journal of Combinatorial Optimization
On-line bin packing with restricted repacking
Journal of Combinatorial Optimization
The subdivision-constrained routing requests problem
Journal of Combinatorial Optimization
Minimum diameter cost-constrained Steiner trees
Journal of Combinatorial Optimization
Scheduling and packing malleable and parallel tasks with precedence constraints of bounded width
Journal of Combinatorial Optimization
The Bell Is Ringing in Speed-Scaled Multiprocessor Scheduling
Theory of Computing Systems
Annals of Mathematics and Artificial Intelligence
Annals of Mathematics and Artificial Intelligence
On computational complexity of graph inference from counting
Natural Computing: an international journal
On the computational complexity of membership problems for the completely positive cone and its dual
Computational Optimization and Applications
On expressive power of regular realizability problems
Problems of Information Transmission
Finding paths with minimum shared edges
Journal of Combinatorial Optimization
The topology aware file distribution problem
Journal of Combinatorial Optimization
A note on anti-coordination and social interactions
Journal of Combinatorial Optimization
The density maximization problem in graphs
Journal of Combinatorial Optimization
On multiprocessor temperature-aware scheduling problems
Journal of Scheduling
An online parallel scheduling method with application to energy-efficiency in cloud computing
The Journal of Supercomputing
XSAT and NAE-SAT of linear CNF classes
Discrete Applied Mathematics
Coloring graphs without short cycles and long induced paths
Discrete Applied Mathematics
Exact performance of MULTIFIT for nonsimultaneous machines
Discrete Applied Mathematics
Microcode Compression Using Structured-Constrained Clustering
International Journal of Parallel Programming
Single machine scheduling problems with subcontracting options
Journal of Combinatorial Optimization
Querying business process model repositories
World Wide Web
Optimal design and augmentation of strongly attack-tolerant two-hop clusters in directed networks
Journal of Combinatorial Optimization
AdSCHE: DESIGN OF AN AUCTION-BASED FRAMEWORK FOR DECENTRALIZED SCHEDULING
Journal of Integrated Design & Process Science
Optimizing mix-zone coverage in pervasive wireless networks
Journal of Computer Security - Research in Computer Security and Privacy: Emerging Trends
Inapproximability of (1,2)-Exemplar Distance
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Algorithms for Enhanced Inter-Cell Interference Coordination (eICIC) in LTE HetNets
IEEE/ACM Transactions on Networking (TON)
Learning optimal bayesian networks: a shortest path perspective
Journal of Artificial Intelligence Research
Horn clause contraction functions
Journal of Artificial Intelligence Research
Weighted graph-based methods for identifying the most influential actors in trust social networks
International Journal of Networking and Virtual Organisations
The vertex leafage of chordal graphs
Discrete Applied Mathematics
Packing bipartite graphs with covers of complete bipartite graphs
Discrete Applied Mathematics
Approximating the path-distance-width for AT-free graphs and graphs in related classes
Discrete Applied Mathematics
Digraph width measures in parameterized algorithmics
Discrete Applied Mathematics
An iterated-tabu-search heuristic for a variant of the partial set covering problem
Journal of Heuristics
Annals of Mathematics and Artificial Intelligence
Group planning with time constraints
Annals of Mathematics and Artificial Intelligence
Elements of dynamic programming in extremal routing problems
Automation and Remote Control
Complexity of Rational and Irrational Nash Equilibria
Theory of Computing Systems
Comparing Problem Solving Strategies for NP-hard Optimization Problems
Fundamenta Informaticae - Cognitive Informatics and Computational Intelligence: Theory and Applications
Fundamenta Informaticae - Strategies for Tomography
Guest column: the elusive inapproximability of the TSP
ACM SIGACT News
Complexity of the Soundness Problem of Workflow Nets
Fundamenta Informaticae - Application and Theory of Petri Nets and Concurrency, 2012
A new approximation algorithm for the Selective Single-Sink Buy-at-Bulk problem in network design
Journal of Combinatorial Optimization
A syntactic possibilistic belief change operator: Theory and empirical study
Web Intelligence and Agent Systems
Dominating problems in swapped networks
Information Sciences: an International Journal
Efficient self-stabilizing algorithms for minimal total k-dominating sets in graphs
Information Processing Letters
Time-free solution to SAT problem using P systems with active membranes
Theoretical Computer Science
Decision-theoretic troubleshooting: Hardness of approximation
International Journal of Approximate Reasoning
On the Windfall and price of friendship: Inoculation strategies on social networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Journal of Mobile Multimedia
AOI rivers for visualizing dynamic eye gaze frequencies
EuroVis '13 Proceedings of the 15th Eurographics Conference on Visualization
dPSO-vis: topology-based visualization of discrete particle swarm optimization
EuroVis '13 Proceedings of the 15th Eurographics Conference on Visualization
Hi-index | 0.98 |