Counting networks and multi-processor coordination
STOC '91 Proceedings of the twenty-third annual ACM symposium on Theory of computing
A graph generation software package
SIGCSE '91 Proceedings of the twenty-second SIGCSE technical symposium on Computer science education
Geometric algorithms for a minimum cost assignment problem
SCG '91 Proceedings of the seventh annual symposium on Computational geometry
A comparison of sorting algorithms for the connection machine CM-2
SPAA '91 Proceedings of the third annual ACM symposium on Parallel algorithms and architectures
Understanding retiming through maximum average-weight cycles
SPAA '91 Proceedings of the third annual ACM symposium on Parallel algorithms and architectures
Semantic complexity of classes of relational queries and query independent data partitioning
PODS '91 Proceedings of the tenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Reliability, sampling, and algorithmic randomness
TAV4 Proceedings of the symposium on Testing, analysis, and verification
Radix sort for vector multiprocessors
Proceedings of the 1991 ACM/IEEE conference on Supercomputing
On minimal closure constraint generation for symbolic cell assembly
DAC '91 Proceedings of the 28th ACM/IEEE Design Automation Conference
Persistence, amortization and randomization
SODA '91 Proceedings of the second annual ACM-SIAM symposium on Discrete algorithms
Tight bounds on the complexity of the Boyer-Moore string matching algorithm
SODA '91 Proceedings of the second annual ACM-SIAM symposium on Discrete algorithms
STOC '92 Proceedings of the twenty-fourth annual ACM symposium on Theory of computing
Efficient local search for very large-scale satisfiability problems
ACM SIGART Bulletin
Gross motion planning—a survey
ACM Computing Surveys (CSUR)
Counting networks with arbitrary fan-out
SODA '92 Proceedings of the third annual ACM-SIAM symposium on Discrete algorithms
Separation and approximation of polyhedral objects
SODA '92 Proceedings of the third annual ACM-SIAM symposium on Discrete algorithms
Supporting the hypercube programming model on mesh architectures: (a fast sorter for iWarp tori)
SPAA '92 Proceedings of the fourth annual ACM symposium on Parallel algorithms and architectures
Implementations of randomized sorting on large parallel machines
SPAA '92 Proceedings of the fourth annual ACM symposium on Parallel algorithms and architectures
Low contention load balancing on large-scale multiprocessors
SPAA '92 Proceedings of the fourth annual ACM symposium on Parallel algorithms and architectures
An upper bound for conforming Delaunay triangulations
SCG '92 Proceedings of the eighth annual symposium on Computational geometry
Measuring the resemblance of polygonal curves
SCG '92 Proceedings of the eighth annual symposium on Computational geometry
Behavior sampling: a technique for automated retrieval of reusable components
ICSE '92 Proceedings of the 14th international conference on Software engineering
SDE 5 Proceedings of the fifth ACM SIGSOFT symposium on Software development environments
Scheduling algorithms for multi-hop radio networks
SIGCOMM '92 Conference proceedings on Communications architectures & protocols
Three-dimensional alpha shapes
VVS '92 Proceedings of the 1992 workshop on Volume visualization
An improved supercomputer sorting benchmark
Proceedings of the 1992 ACM/IEEE conference on Supercomputing
Retrieving reusable software by sampling behavior
ACM Transactions on Software Engineering and Methodology (TOSEM)
Tachyon: a constraint-based temporal reasoning model and its implementation
ACM SIGART Bulletin
Temporal reasoning in Timegraph I–II
ACM SIGART Bulletin
Scheduling algorithms for multihop radio networks
IEEE/ACM Transactions on Networking (TON)
Strongly polynomial-time and NC algorithms for detecting cycles in periodic graphs
Journal of the ACM (JACM)
Three-dimensional alpha shapes
ACM Transactions on Graphics (TOG)
High performance parallel logic simulations on a network of workstations
PADS '93 Proceedings of the seventh workshop on Parallel and distributed simulation
Geometric partitioning made easier, even in parallel
SCG '93 Proceedings of the ninth annual symposium on Computational geometry
The union of balls and its dual shape
SCG '93 Proceedings of the ninth annual symposium on Computational geometry
An incremental algorithm for Betti numbers of simplicial complexes
SCG '93 Proceedings of the ninth annual symposium on Computational geometry
Some geometric applications of Dilworth's theorem
SCG '93 Proceedings of the ninth annual symposium on Computational geometry
Dynamic ray shooting and shortest paths via balanced geodesic triangulations
SCG '93 Proceedings of the ninth annual symposium on Computational geometry
A methodology for implementing highly concurrent data objects
ACM Transactions on Programming Languages and Systems (TOPLAS)
A derived algorithm for evaluating ε-expressions over abstract sets
Journal of Symbolic Computation - Special issue on automatic programming
Teaching tools for data structures and algorithms
ACM SIGCSE Bulletin
DAC '93 Proceedings of the 30th international Design Automation Conference
Optimal clustering for delay minimization
DAC '93 Proceedings of the 30th international Design Automation Conference
Optimal graph constraint reduction for symbolic layout compaction
DAC '93 Proceedings of the 30th international Design Automation Conference
TIM: a timing package for two-phase, level-clocked circuitry
DAC '93 Proceedings of the 30th international Design Automation Conference
Efficient parallel shortest-paths in digraphs with a separator decomposition
SPAA '93 Proceedings of the fifth annual ACM symposium on Parallel algorithms and architectures
ICS '93 Proceedings of the 7th international conference on Supercomputing
Scan grammars: parallel attribute evaluation via data-parallelism
SPAA '93 Proceedings of the fifth annual ACM symposium on Parallel algorithms and architectures
Implementing the multiprefix operation on parallel and vector computers
SPAA '93 Proceedings of the fifth annual ACM symposium on Parallel algorithms and architectures
A robust model for finding optimal evolutionary trees
STOC '93 Proceedings of the twenty-fifth annual ACM symposium on Theory of computing
Contention in shared memory algorithms
STOC '93 Proceedings of the twenty-fifth annual ACM symposium on Theory of computing
WSC '92 Proceedings of the 24th conference on Winter simulation
Load balancing techniques for dynamic programming algorithms on hypercube multiprocessors
SAC '93 Proceedings of the 1993 ACM/SIGAPP symposium on Applied computing: states of the art and practice
An integrated, breadth-first computer science curriculum based on Computing Curricula 1991
SIGCSE '93 Proceedings of the twenty-fourth SIGCSE technical symposium on Computer science education
Performance evaluation of ephemeral logging
SIGMOD '93 Proceedings of the 1993 ACM SIGMOD international conference on Management of data
An information model for genome map representation and assembly
CIKM '93 Proceedings of the second international conference on Information and knowledge management
Animating hashing algorithms for computer science education
CSC '93 Proceedings of the 1993 ACM conference on Computer science
On randomization in sequential and distributed algorithms
ACM Computing Surveys (CSUR)
SCG '94 Proceedings of the tenth annual symposium on Computational geometry
Biased finger trees and three-dimensional layers of maxima: (preliminary version)
SCG '94 Proceedings of the tenth annual symposium on Computational geometry
On the maximum degree of minimum spanning trees
SCG '94 Proceedings of the tenth annual symposium on Computational geometry
Almost optimal set covers in finite VC-dimension: (preliminary version)
SCG '94 Proceedings of the tenth annual symposium on Computational geometry
Efficient piecewise-linear function approximation using the uniform metric: (preliminary version)
SCG '94 Proceedings of the tenth annual symposium on Computational geometry
Improved parity-declustered layouts for disk arrays
SPAA '94 Proceedings of the sixth annual ACM symposium on Parallel algorithms and architectures
Inference and minimization of hidden Markov chains
COLT '94 Proceedings of the seventh annual conference on Computational learning theory
How much can we speedup Gaussian elimination with pivoting?
SPAA '94 Proceedings of the sixth annual ACM symposium on Parallel algorithms and architectures
A simpler analysis of algorithm 65 (find)
ACM SIGACT News
Optimal response time retrieval of replicated data (extended abstract)
PODS '94 Proceedings of the thirteenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
SynRGen: an extensible file reference generator
SIGMETRICS '94 Proceedings of the 1994 ACM SIGMETRICS conference on Measurement and modeling of computer systems
Journal of the ACM (JACM)
Analysis of real-time programs with simple time Petri nets
ISSTA '94 Proceedings of the 1994 ACM SIGSOFT international symposium on Software testing and analysis
Dynamic programming for pennies a day
SIGCSE '94 Proceedings of the twenty-fifth SIGCSE symposium on Computer science education
Management of disk space with REBATE
CIKM '94 Proceedings of the third international conference on Information and knowledge management
Skew sensitivity minimization of buffered clock tree
ICCAD '94 Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design
Approximate symbolic analysis of large analog integrated circuits
ICCAD '94 Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design
Optimization of dynamic query evaluation plans
SIGMOD '94 Proceedings of the 1994 ACM SIGMOD international conference on Management of data
On multimedia repositories, personal computers, and hierarchical storage systems
MULTIMEDIA '94 Proceedings of the second ACM international conference on Multimedia
Polylog-time and near-linear work approximation scheme for undirected shortest paths
STOC '94 Proceedings of the twenty-sixth annual ACM symposium on Theory of computing
Faster shortest-path algorithms for planar graphs
STOC '94 Proceedings of the twenty-sixth annual ACM symposium on Theory of computing
STOC '94 Proceedings of the twenty-sixth annual ACM symposium on Theory of computing
Performance analysis based on timing simulation
DAC '94 Proceedings of the 31st annual Design Automation Conference
Sequencer-based data path synthesis of regular iterative algorithms
DAC '94 Proceedings of the 31st annual Design Automation Conference
A unified approach to multilayer over-the-cell routing
DAC '94 Proceedings of the 31st annual Design Automation Conference
DAC '94 Proceedings of the 31st annual Design Automation Conference
A methodology and algorithms for post-placement delay optimization
DAC '94 Proceedings of the 31st annual Design Automation Conference
Minimal delay interconnect design using alphabetic trees
DAC '94 Proceedings of the 31st annual Design Automation Conference
Efficient algorithms for interface timing verification
EURO-DAC '94 Proceedings of the conference on European design automation
Hardware/software partitioning and minimizing memory interface traffic
EURO-DAC '94 Proceedings of the conference on European design automation
Sequential algorithms, deterministic parallelism, and intensional expressiveness
POPL '95 Proceedings of the 22nd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Structuring depth-first search algorithms in Haskell
POPL '95 Proceedings of the 22nd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Decision points in the introduction of parallel processing into the undergraduate curriculum
SIGCSE '95 Proceedings of the twenty-sixth SIGCSE technical symposium on Computer science education
Efficient Image Processing Algorithms on the Scan Line Array Processor
IEEE Transactions on Pattern Analysis and Machine Intelligence
Supporting dynamic data structures on distributed-memory machines
ACM Transactions on Programming Languages and Systems (TOPLAS)
Implementing deletion in B+-trees
ACM SIGMOD Record
Efficiently computing Φ-nodes on-the-fly
ACM Transactions on Programming Languages and Systems (TOPLAS)
A Fast Parallel Algorithm for Routing Unicast Assignments in Benes Networks
IEEE Transactions on Parallel and Distributed Systems
A Distributed System-Level Diagnosis Algorithm for Arbitrary Network Topologies
IEEE Transactions on Computers - Special issue on fault-tolerant computing
LEDA: a platform for combinatorial and geometric computing
Communications of the ACM
The role of dynamic programming & control structures in performance
APL '95 Proceedings of the international conference on Applied programming languages
APT: a data structure for optimal control dependence computation
PLDI '95 Proceedings of the ACM SIGPLAN 1995 conference on Programming language design and implementation
Graph contraction for mapping data on parallel computers: a quality-cost tradeoff
Scientific Programming
A linear-time algorithm for computing the memory access sequence in data-parallel programs
PPOPP '95 Proceedings of the fifth ACM SIGPLAN symposium on Principles and practice of parallel programming
ACM Transactions on Computer Systems (TOCS)
Fundamental ideas for a parallel computing course
ACM Computing Surveys (CSUR)
Provably efficient scheduling for languages with fine-grained parallelism
Proceedings of the seventh annual ACM symposium on Parallel algorithms and architectures
Parallel algorithms for the circuit value update problem
Proceedings of the seventh annual ACM symposium on Parallel algorithms and architectures
Don't be too clever: routing BMMC permutations on the MasPar MP-2
Proceedings of the seventh annual ACM symposium on Parallel algorithms and architectures
User profile replication for faster location lookup in mobile environments
MobiCom '95 Proceedings of the 1st annual international conference on Mobile computing and networking
Trading packet headers for packet processing
SIGCOMM '95 Proceedings of the conference on Applications, technologies, architectures, and protocols for computer communication
Efficient fair queueing using deficit round robin
SIGCOMM '95 Proceedings of the conference on Applications, technologies, architectures, and protocols for computer communication
Computing the maximum power cycles of a sequential circuit
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
DELAY: an efficient tool for retiming with realistic delay modeling
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
A fresh look at retiming via clock skew optimization
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
On optimal board-level routing for FPGA-based logic emulation
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
Code optimization techniques for embedded DSP microprocessors
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
Computation of the Medial Axis Transform of 3-D polyhedra
SMA '95 Proceedings of the third ACM symposium on Solid modeling and applications
Commercial applications of natural language processing
Communications of the ACM
ACM SIGACT News
A combinatorial approach to cartograms
Proceedings of the eleventh annual symposium on Computational geometry
Information synthesis in statistical databases
CIKM '95 Proceedings of the fourth international conference on Information and knowledge management
Optimization of power dissipation and skew sensitivity in clock buffer synthesis
ISLPED '95 Proceedings of the 1995 international symposium on Low power design
Efficient validity checking for processor verification
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
Proceedings of the fourteenth annual ACM symposium on Principles of distributed computing
Interface co-synthesis techniques for embedded systems
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
Improved approximation algorithms for uniform connectivity problems
STOC '95 Proceedings of the twenty-seventh annual ACM symposium on Theory of computing
A fully-dynamic data structure for external substring search
STOC '95 Proceedings of the twenty-seventh annual ACM symposium on Theory of computing
String matching in Lempel-Ziv compressed strings
STOC '95 Proceedings of the twenty-seventh annual ACM symposium on Theory of computing
Series-parallel functions and FPGA logic module design
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Trading packet headers for packet processing
IEEE/ACM Transactions on Networking (TON)
Sorting on a parallel pointer machine with applications to set expression evaluation
Journal of the ACM (JACM)
Index structures for structured documents
Proceedings of the first ACM international conference on Digital libraries
Programming parallel algorithms
Communications of the ACM
IEEE Transactions on Computers
IEEE Transactions on Parallel and Distributed Systems
PLDI '96 Proceedings of the ACM SIGPLAN 1996 conference on Programming language design and implementation
A framework for generalized control dependence
PLDI '96 Proceedings of the ACM SIGPLAN 1996 conference on Programming language design and implementation
IEEE Transactions on Computers
Task Clustering and Scheduling for Distributed Memory Parallel Architectures
IEEE Transactions on Parallel and Distributed Systems
Solving satisfiability and implication problems in database systems
ACM Transactions on Database Systems (TODS)
On optimal piggyback merging policies for video-on-demand systems
Proceedings of the 1996 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Toward multimedia conference proceedings
Communications of the ACM
Basic techniques for design and analysis of algorithms
ACM Computing Surveys (CSUR)
ACM Computing Surveys (CSUR)
Pattern-matching and text-compression algorithms
ACM Computing Surveys (CSUR)
ACM Computing Surveys (CSUR)
Layout algorithm for VLSI design
ACM Computing Surveys (CSUR)
A new approach to the minimum cut problem
Journal of the ACM (JACM)
A combinatorial treatment of balancing networks
Journal of the ACM (JACM)
Multicast tree generation in networks with asymmetric links
IEEE/ACM Transactions on Networking (TON)
A fast algorithm for minimizing FPGA combinational and sequential modules
ACM Transactions on Design Automation of Electronic Systems (TODAES)
The influence of caches on the performance of heaps
Journal of Experimental Algorithmics (JEA)
Fast rendering of irregular grids
Proceedings of the 1996 symposium on Volume visualization
Thinking about binary trees in an object-oriented world
SIGCSE '96 Proceedings of the twenty-seventh SIGCSE technical symposium on Computer science education
ISSAC '96 Proceedings of the 1996 international symposium on Symbolic and algebraic computation
Self-indexing inverted files for fast text retrieval
ACM Transactions on Information Systems (TOIS)
An analysis of dag-consistent distributed shared-memory algorithms
Proceedings of the eighth annual ACM symposium on Parallel algorithms and architectures
The GLOW cache coherence protocol extensions for widely shared data
ICS '96 Proceedings of the 10th international conference on Supercomputing
A new guaranteed heuristic for the software pipelining problem
ICS '96 Proceedings of the 10th international conference on Supercomputing
ICS '96 Proceedings of the 10th international conference on Supercomputing
Fast algorithms for k-shredders and k-node connectivity augmentation (extended abstract)
STOC '96 Proceedings of the twenty-eighth annual ACM symposium on Theory of computing
Approximating s-t minimum cuts in Õ(n2) time
STOC '96 Proceedings of the twenty-eighth annual ACM symposium on Theory of computing
The thin glass line: designing interfaces to algorithms
Proceedings of the SIGCHI Conference on Human Factors in Computing Systems
Hierarchical optimization of optimal path finding for transportation applications
CIKM '96 Proceedings of the fifth international conference on Information and knowledge management
A satisfiability-based test generator for path delay faults in combinational circuits
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Area efficient pipelined pseudo-exhaustive testing with retiming
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Enhanced network flow algorithm for yield optimization
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Worst-case performance of cellular channel assignment policies
Wireless Networks - Special issue on performance evaluation methods for wireless networks
Strategic directions in research in theory of computing
ACM Computing Surveys (CSUR) - Special ACM 50th-anniversary issue: strategic directions in computing research
Comment on “self-indexed sort”
ACM SIGPLAN Notices
CHIMP: a framework for supporting distributed multimedia document authoring and presentation
MULTIMEDIA '96 Proceedings of the fourth ACM international conference on Multimedia
Extended ephemeral logging: log storage management for applications with long lived transactions
ACM Transactions on Database Systems (TODS)
An algorithm for power estimation in switched-capacitor circuits
Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design
Weighted Diagnosis with Asymmetric Invalidation
IEEE Transactions on Computers
Counting networks are practically linearizable
PODC '96 Proceedings of the fifteenth annual ACM symposium on Principles of distributed computing
On the Practical Need for Abstraction Relations to Verify Abstract Data Type Representations
IEEE Transactions on Software Engineering
Systematic Design of Fault-Tolerant Multiprocessors with Shared Buses
IEEE Transactions on Computers
Joint Minimization of Code and Data for Synchronous DataflowPrograms
Formal Methods in System Design
Recursive hashing functions for n-grams
ACM Transactions on Information Systems (TOIS)
Separators for sphere-packings and nearest neighbor graphs
Journal of the ACM (JACM)
Optimizing two-phase, level-clocked circuitry
Journal of the ACM (JACM)
Determining the Order of Processor Transactions in StaticallyScheduled Multiprocessors
Journal of VLSI Signal Processing Systems
I/O and performance tradeoffs with the FunctionBus during multi-FPGA partitioning
FPGA '97 Proceedings of the 1997 ACM fifth international symposium on Field-programmable gate arrays
Efficient detection of determinacy races in Cilk programs
Proceedings of the ninth annual ACM symposium on Parallel algorithms and architectures
Efficient computations on fault-prone BSP machines
Proceedings of the ninth annual ACM symposium on Parallel algorithms and architectures
Proceedings of the ninth annual ACM symposium on Parallel algorithms and architectures
The performance of simple routing algorithms that drop packets
Proceedings of the ninth annual ACM symposium on Parallel algorithms and architectures
STOC '97 Proceedings of the twenty-ninth annual ACM symposium on Theory of computing
Improved routing and sorting on multibutterflies
STOC '97 Proceedings of the twenty-ninth annual ACM symposium on Theory of computing
PODC '97 Proceedings of the sixteenth annual ACM symposium on Principles of distributed computing
Automatic detection of errors in distributed systems
CSC '95 Proceedings of the 1995 ACM 23rd annual conference on Computer science
Clocking Optimization and Distribution in Digital Systemswith Scheduled Skews
Journal of VLSI Signal Processing Systems - Special issue on high performance clock distribution networks
A symbolic algorithm for maximum flow in 0-1 networks
ICCAD '93 Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design
Switch module design with application to two-dimensional segmentation design
ICCAD '93 Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design
Practical Algorithms for Selection on Coarse-Grained Parallel Computers
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
An Efficient Algorithm for Minimizing Real-Time Transition Systems
Formal Methods in System Design - Special issue on computer aided verification (CAV 93)
On fence design and the complexity of push plans for orienting parts
SCG '97 Proceedings of the thirteenth annual symposium on Computational geometry
Computing exact geometric predicates using modular arithmetic with single precision
SCG '97 Proceedings of the thirteenth annual symposium on Computational geometry
Efficient breakout routing in printed circuit boards
SCG '97 Proceedings of the thirteenth annual symposium on Computational geometry
On the complexity of generating optimal plans with cross products (extended abstract)
PODS '97 Proceedings of the sixteenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Reuse of algorithms: still a challenge to object-oriented programming
Proceedings of the 12th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
Proceedings of the 24th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Algorithmic aspects in speech recognition: an introduction
Journal of Experimental Algorithmics (JEA)
An empirical study of dynamic graph algorithms
Journal of Experimental Algorithmics (JEA)
Drawing graphs to convey proximity: an incremental arrangement method
ACM Transactions on Computer-Human Interaction (TOCHI)
Design and Evaluation of Adiabatic Arithmetic Units
Analog Integrated Circuits and Signal Processing - Special issue: analog design issues in digital VSLI circuits and systems
Node-covering, Error-correcting Codes and Multiprocessors with Very High Average Fault Tolerance
IEEE Transactions on Computers
Disco: running commodity operating systems on scalable multiprocessors
ACM Transactions on Computer Systems (TOCS)
An improved algorithm for minimum-area retiming
DAC '97 Proceedings of the 34th annual Design Automation Conference
DAC '97 Proceedings of the 34th annual Design Automation Conference
The supplemental proceedings of the conference on Integrating technology into computer science education: working group reports and supplemental proceedings
Multiprocessor out-of-core FFTs with distributed memory and parallel disks (extended abstract)
Proceedings of the fifth workshop on I/O in parallel and distributed systems
Browsing and placement of multiresolution images on parallel disks
Proceedings of the fifth workshop on I/O in parallel and distributed systems
FPGA synthesis with retiming and pipelining for clock period minimization of sequential circuits
DAC '97 Proceedings of the 34th annual Design Automation Conference
System-level synthesis of low-power hard real-time systems
DAC '97 Proceedings of the 34th annual Design Automation Conference
Move-to-rear list scheduling: a new scheduling algorithm for providing QoS guarantees
MULTIMEDIA '97 Proceedings of the fifth ACM international conference on Multimedia
A quantitative approach to functional debugging
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
An output encoding problem and a solution technique
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
Replication for logic bipartitioning
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
An exact gate decomposition algorithm for low-power technology mapping
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
Disco: running commodity operating systems on scalable multiprocessors
Proceedings of the sixteenth ACM symposium on Operating systems principles
Matching and indexing sequences of different lengths
CIKM '97 Proceedings of the sixth international conference on Information and knowledge management
Proceedings of the 1997 international symposium on Physical design
Structural operators for modeling 3-manifolds
SMA '97 Proceedings of the fourth ACM symposium on Solid modeling and applications
Progressive multiple alignment with constraints
RECOMB '97 Proceedings of the first annual international conference on Computational molecular biology
SMA '97 Proceedings of the fourth ACM symposium on Solid modeling and applications
Assessing the feasibility of a process plan: a study in variable interaction
SMA '97 Proceedings of the fourth ACM symposium on Solid modeling and applications
Reference-based gene model prediction on DNA contigs (extended abstract)
RECOMB '97 Proceedings of the first annual international conference on Computational molecular biology
Fast and numerically stable parametric alignment of biosequences
RECOMB '97 Proceedings of the first annual international conference on Computational molecular biology
SIGCSE '97 Proceedings of the twenty-eighth SIGCSE technical symposium on Computer science education
An efficient ILP-based scheduling algorithm for control-dominated VHDL descriptions
ACM Transactions on Design Automation of Electronic Systems (TODAES)
A codesign experiment in acoustic echo cancellation: GMDFα
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Computational efficiency evaluation in output analysis
Proceedings of the 29th conference on Winter simulation
Improving discrete mathematics and algorithms curricula with LINK
Proceedings of the 2nd conference on Integrating technology into computer science education
Contention in shared memory algorithms
Journal of the ACM (JACM)
A new viewpoint on code generation for directed acyclic graphs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Cluster-cover: a theoretical framework for a class of VLSI-CAD optimization problems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Hashed and hierarchical timing wheels: efficient data structures for implementing a timer facility
IEEE/ACM Transactions on Networking (TON)
Edge Congestion of Shortest Path Systems for All-to-All Communication
IEEE Transactions on Parallel and Distributed Systems
Parallel Cluster Identification for Multidimensional Lattices
IEEE Transactions on Parallel and Distributed Systems
Efficient Routing and Sorting Schemes for de Bruijn Networks
IEEE Transactions on Parallel and Distributed Systems
Per-user profile replication in mobile environments: algorithms, analysis, and simulation results
Mobile Networks and Applications
Circuit Retiming Applied to Decomposed Software Pipelining
IEEE Transactions on Parallel and Distributed Systems
Online Learning versus Offline Learning
Machine Learning
Machine Learning - Special issue on learning with probabilistic representations
Average-case analysis in an elementary course on algorithms
SIGCSE '98 Proceedings of the twenty-ninth SIGCSE technical symposium on Computer science education
Historical perspectives on the computing curriculum
ACM SIGCUE Outlook - Special issue: ITiCSE '97 working group papers
A fast routability-driven router for FPGAs
FPGA '98 Proceedings of the 1998 ACM/SIGDA sixth international symposium on Field programmable gate arrays
An optimal search tree: modified complete binary search tree
ACM-SE 36 Proceedings of the 36th annual Southeast regional conference
A Note on the Complexity of Dijkstra's Algorithm for Graphs with Weighted Vertices
IEEE Transactions on Computers
CURE: an efficient clustering algorithm for large databases
SIGMOD '98 Proceedings of the 1998 ACM SIGMOD international conference on Management of data
MAPA: a system for inducing and visualizing hierarchy in Websites
Proceedings of the ninth ACM conference on Hypertext and hypermedia : links, objects, time and space---structure in hypermedia systems: links, objects, time and space---structure in hypermedia systems
Geometric applications of a randomized optimization technique
Proceedings of the fourteenth annual symposium on Computational geometry
Point set labeling with sliding labels
Proceedings of the fourteenth annual symposium on Computational geometry
An output sensitive algorithm for discrete convex hulls
Proceedings of the fourteenth annual symposium on Computational geometry
A fast hierarchical algorithm for 3-D capacitance extraction
DAC '98 Proceedings of the 35th annual Design Automation Conference
Multi-pad power/ground network design for uniform distribution of ground bounce
DAC '98 Proceedings of the 35th annual Design Automation Conference
Global routing with crosstalk constraints
DAC '98 Proceedings of the 35th annual Design Automation Conference
A practical repeater insertion method in high speed VLSI circuits
DAC '98 Proceedings of the 35th annual Design Automation Conference
Technology mapping for large complex PLDs
DAC '98 Proceedings of the 35th annual Design Automation Conference
Deadlock-free routing in arbitrary networks via the flattest common supersequence method
Proceedings of the tenth annual ACM symposium on Parallel algorithms and architectures
Detecting data races in Cilk programs that use locks
Proceedings of the tenth annual ACM symposium on Parallel algorithms and architectures
Contention in balancing networks resolved (extended abstract)
PODC '98 Proceedings of the seventeenth annual ACM symposium on Principles of distributed computing
Proper tail recursion and space efficiency
PLDI '98 Proceedings of the ACM SIGPLAN 1998 conference on Programming language design and implementation
The implementation of the Cilk-5 multithreaded language
PLDI '98 Proceedings of the ACM SIGPLAN 1998 conference on Programming language design and implementation
Eliminating array bound checking through dependent types
PLDI '98 Proceedings of the ACM SIGPLAN 1998 conference on Programming language design and implementation
Faster IP lookups using controlled prefix expansion
SIGMETRICS '98/PERFORMANCE '98 Proceedings of the 1998 ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems
A study of three dynamic approaches to handle widely shared data in shared-memory multiprocessors
ICS '98 Proceedings of the 12th international conference on Supercomputing
Optimal Circuits for Parallel Multipliers
IEEE Transactions on Computers
Active pages: a computation model for intelligent memory
Proceedings of the 25th annual international symposium on Computer architecture
Design of Balanced and Constant Weight Codes for VLSI Systems
IEEE Transactions on Computers
Algorithms for Variable Length Subnet Address Assignment
IEEE Transactions on Computers
Polylogarithmic-overhead piecemeal graph exploration
COLT' 98 Proceedings of the eleventh annual conference on Computational learning theory
Issues in temporal reasoning for autonomous control systems
AGENTS '98 Proceedings of the second international conference on Autonomous agents
Texture mapping for cel animation
Proceedings of the 25th annual conference on Computer graphics and interactive techniques
How to design dynamic programming algorithms sans recursion
ACM SIGACT News
Specification of an algorithm design system
ITiCSE '98 Proceedings of the 6th annual conference on the teaching of computing and the 3rd annual conference on Integrating technology into computer science education: Changing the delivery of computer science education
Approximating the longest approximate common subsequence problem
ACM-SE 36 Proceedings of the 36th annual Southeast regional conference
Scalable S-To-P Broadcasting on Message-Passing MPPs
IEEE Transactions on Parallel and Distributed Systems
Temporal sequence learning and data reduction for anomaly detection
CCS '98 Proceedings of the 5th ACM conference on Computer and communications security
Volume animation using the skeleton tree
VVS '98 Proceedings of the 1998 IEEE symposium on Volume visualization
Converting sets of polygons to manifold surfaces by cutting and stitching
Proceedings of the conference on Visualization '98
Technology mapping for domino logic
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
An iterative algorithm for delay-constrained minimum-cost multicasting
IEEE/ACM Transactions on Networking (TON)
Analyzing Asynchronous Pipeline Schedules
International Journal of Parallel Programming
Asymptotically efficient retiming under setup and hold constraints
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
Power invariant vector sequence compaction
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
Functional debugging of systems-on-chip
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
Synthesis of application specific instructions for embedded DSP software
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
Efficient Algorithms for Interface Timing Verification
Formal Methods in System Design
ACM Transactions on Information Systems (TOIS)
ACM Transactions on Design Automation of Electronic Systems (TODAES)
On the Solution of Linear Recurrence Equations
Computational Optimization and Applications
Compressed inverted files with reduced decoding overheads
Proceedings of the 21st annual international ACM SIGIR conference on Research and development in information retrieval
Static caching for incremental computation
ACM Transactions on Programming Languages and Systems (TOPLAS)
An abstract machine for tabled execution of fixed-order stratified logic programs
ACM Transactions on Programming Languages and Systems (TOPLAS)
Automatic data layout for distributed-memory machines
ACM Transactions on Programming Languages and Systems (TOPLAS)
Within ARM's reach: compilation of left-linear rewrite systems via minimal rewrite systems
ACM Transactions on Programming Languages and Systems (TOPLAS)
Aggregate structure identification and its application to program analysis
Proceedings of the 26th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Proceedings of the 26th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
IEEE Transactions on Parallel and Distributed Systems
Performance Estimation for Real-Time Distributed Embedded Systems
IEEE Transactions on Parallel and Distributed Systems
False path analysis based on hierarchical control representation
Proceedings of the 11th international symposium on System synthesis
An optimal algorithm for approximate nearest neighbor searching fixed dimensions
Journal of the ACM (JACM)
Optimal clock period FPGA technology mapping for sequential circuits
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Integrating the rewriting and ranking phases of view synchronization
Proceedings of the 1st ACM international workshop on Data warehousing and OLAP
Fast transformation of temporal plans for efficient execution
AAAI '98/IAAI '98 Proceedings of the fifteenth national/tenth conference on Artificial intelligence/Innovative applications of artificial intelligence
A new, simpler linear-time dominators algorithm
ACM Transactions on Programming Languages and Systems (TOPLAS)
String matching on multicontext FPGAs using self-reconfiguration
FPGA '99 Proceedings of the 1999 ACM/SIGDA seventh international symposium on Field programmable gate arrays
Fast address lookups using controlled prefix expansion
ACM Transactions on Computer Systems (TOCS)
The Coign automatic distributed partitioning system
OSDI '99 Proceedings of the third symposium on Operating systems design and implementation
Lock bypassing: an efficient algorithm for concurrently accessing priority heaps
Journal of Experimental Algorithmics (JEA)
Journal of Experimental Algorithmics (JEA)
Fast Static Compaction Algorithms for Sequential Circuit Test Vectors
IEEE Transactions on Computers
RECOMB '99 Proceedings of the third annual international conference on Computational molecular biology
A Multibody Factorization Method for Independently Moving Objects
International Journal of Computer Vision
Using randomization in the teaching of data structures and algorithms
SIGCSE '99 The proceedings of the thirtieth SIGCSE technical symposium on Computer science education
Using visualization to teach parallel algorithms
SIGCSE '99 The proceedings of the thirtieth SIGCSE technical symposium on Computer science education
Embedding and Reconfiguration of Spanning Trees in Faulty Hypercubes
IEEE Transactions on Parallel and Distributed Systems
Efficient solution of systems of orientation constraints
ISPD '99 Proceedings of the 1999 international symposium on Physical design
Mechanical Verification of Adder Circuits using Rewrite RuleLaboratory
Formal Methods in System Design
QoS routing in networks with uncertain parameters
IEEE/ACM Transactions on Networking (TON)
IEEE/ACM Transactions on Networking (TON)
Effective jump-pointer prefetching for linked data structures
ISCA '99 Proceedings of the 26th annual international symposium on Computer architecture
Approximate Geometric Pattern Matching Under Rigid Motions
IEEE Transactions on Pattern Analysis and Machine Intelligence
Automatic parallelization of divide and conquer algorithms
Proceedings of the seventh ACM SIGPLAN symposium on Principles and practice of parallel programming
All pairs lightest shortest paths
STOC '99 Proceedings of the thirty-first annual ACM symposium on Theory of computing
A fully dynamic algorithm for maintaining the transitive closure
STOC '99 Proceedings of the thirty-first annual ACM symposium on Theory of computing
Enhanced code compression for embedded RISC processors
Proceedings of the ACM SIGPLAN 1999 conference on Programming language design and implementation
Proceedings of the ACM SIGPLAN 1999 conference on Programming language design and implementation
An evaluation of staged run-time optimizations in DyC
Proceedings of the ACM SIGPLAN 1999 conference on Programming language design and implementation
The string B-tree: a new data structure for string search in external memory and its applications
Journal of the ACM (JACM)
Provably efficient scheduling for languages with fine-grained parallelism
Journal of the ACM (JACM)
Minimal data upgrading to prevent inference and association attacks
PODS '99 Proceedings of the eighteenth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Locality preserving dictionaries: theory & application to clustering in databases
PODS '99 Proceedings of the eighteenth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Manufacturable feature recognition and its integration with process planning
Proceedings of the fifth ACM symposium on Solid modeling and applications
Synthesis of bent sheet metal parts from design features
Proceedings of the fifth ACM symposium on Solid modeling and applications
Level set diagrams of polyhedral objects
Proceedings of the fifth ACM symposium on Solid modeling and applications
Configuring multiple scan chains for minimum test time
ICCAD '92 Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design
The effect of mobile IP handoffs on the performance of TCP
Mobile Networks and Applications - Special issue: resource management in mobile wireless communication networks
Sorting and counting networks of small depth and arbitrary width
Proceedings of the eleventh annual ACM symposium on Parallel algorithms and architectures
Communication-processor tradeoffs in limited resources PRAM
Proceedings of the eleventh annual ACM symposium on Parallel algorithms and architectures
Scheduling threads for low space requirement and good locality
Proceedings of the eleventh annual ACM symposium on Parallel algorithms and architectures
A comparison of scalable superscalar processors
Proceedings of the eleventh annual ACM symposium on Parallel algorithms and architectures
A simple and efficient parallel disk mergesort
Proceedings of the eleventh annual ACM symposium on Parallel algorithms and architectures
Proceedings of the eleventh annual ACM symposium on Parallel algorithms and architectures
Designing courseware on algorithms for active learning with virtual board games
ITiCSE '99 Proceedings of the 4th annual SIGCSE/SIGCUE ITiCSE conference on Innovation and technology in computer science education
Initialization of Sequential Circuits and its Application to ATPG
Journal of Electronic Testing: Theory and Applications
Parallel Multiplication Using Fast Sorting Networks
IEEE Transactions on Computers
Regret bounds for prediction problems
COLT '99 Proceedings of the twelfth annual conference on Computational learning theory
Reinforcement learning and mistake bounded algorithms
COLT '99 Proceedings of the twelfth annual conference on Computational learning theory
Individual sequence prediction—upper bounds and application for complexity
COLT '99 Proceedings of the twelfth annual conference on Computational learning theory
DATE '99 Proceedings of the conference on Design, automation and test in Europe
Codex-dp: co-design of communicating systems using dynamic programming
DATE '99 Proceedings of the conference on Design, automation and test in Europe
Paths between imperative and functional programming
ACM SIGPLAN Notices
Real-Time Performance of Sorting Algorithms
Real-Time Systems
Efficient construction of Drinfel'd doubles
ISSAC '99 Proceedings of the 1999 international symposium on Symbolic and algebraic computation
Simultaneous routing and buffer insertion with restrictions on buffer locations
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Leakage control with efficient use of transistor stacks in single threshold CMOS
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Simultaneous circuit partitioning/clustering with retiming for performance optimization
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Work-Efficient Routing Algorithms for Rearrangeable Symmetrical Networks
IEEE Transactions on Parallel and Distributed Systems
Horting hatches an egg: a new graph-theoretic approach to collaborative filtering
KDD '99 Proceedings of the fifth ACM SIGKDD international conference on Knowledge discovery and data mining
QoS routing in networks with inaccurate information: theory and algorithms
IEEE/ACM Transactions on Networking (TON)
Identifying distinctive subsequences in multivariate time series by clustering
KDD '99 Proceedings of the fifth ACM SIGKDD international conference on Knowledge discovery and data mining
Efficient randomized algorithms for the repeated median line estimator
SODA '93 Proceedings of the fourth annual ACM-SIAM Symposium on Discrete algorithms
Improved algorithms for protein motif recognition
Proceedings of the sixth annual ACM-SIAM symposium on Discrete algorithms
SODA '93 Proceedings of the fourth annual ACM-SIAM Symposium on Discrete algorithms
Scaling algorithms for the shortest paths problem
SODA '93 Proceedings of the fourth annual ACM-SIAM Symposium on Discrete algorithms
Balancing minimum spanning and shortest path trees
SODA '93 Proceedings of the fourth annual ACM-SIAM Symposium on Discrete algorithms
Dynamic generation of discrete random variates
SODA '93 Proceedings of the fourth annual ACM-SIAM Symposium on Discrete algorithms
Approximation algorithms for curvature-constrained shortest paths
Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms
Efficient and practical modular decomposition
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Buckets, heaps, lists, and monotone priority queues
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Determinant algorithms for random planar structures
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Experimental analysis of dynamic minimum spanning tree algorithms
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Experimental study of minimum cut algorithms
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Runtime prediction of real programs on real machines
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Mapping clones with a given ordering or interleaving
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Efficient algorithms for finding disjoint paths in grids
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Buy-at-bulk network design: approximating the single-sink edge installation problem
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Efficient algorithms for robustness in matroid optimization
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Approximating the minimum equivalent digraph
SODA '94 Proceedings of the fifth annual ACM-SIAM symposium on Discrete algorithms
A polynomial time approximation scheme for minimum routing cost spanning trees
Proceedings of the ninth annual ACM-SIAM symposium on Discrete algorithms
Shortest paths algorithms: theory and experimental evaluation
SODA '94 Proceedings of the fifth annual ACM-SIAM symposium on Discrete algorithms
Path problems in skew-symmetric graphs
SODA '94 Proceedings of the fifth annual ACM-SIAM symposium on Discrete algorithms
Approximate polynomial Gcds, Padé approximation, polynomial zeros and bipartite graphs
Proceedings of the ninth annual ACM-SIAM symposium on Discrete algorithms
SODA '94 Proceedings of the fifth annual ACM-SIAM symposium on Discrete algorithms
Augmenting undirected edge connectivity in Õ(n2) time
Proceedings of the ninth annual ACM-SIAM symposium on Discrete algorithms
Combinatorial approximation algorithms for generalized flow problems
Proceedings of the tenth annual ACM-SIAM symposium on Discrete algorithms
Theory and practice of I/O-efficient algorithms for multidimensional batched searching problems
Proceedings of the ninth annual ACM-SIAM symposium on Discrete algorithms
Time-quality tradeoffs in reallocative negotiation with combinatorial contract types
AAAI '99/IAAI '99 Proceedings of the sixteenth national conference on Artificial intelligence and the eleventh Innovative applications of artificial intelligence conference innovative applications of artificial intelligence
Point-based approaches to qualitative temporal reasoning
AAAI '99/IAAI '99 Proceedings of the sixteenth national conference on Artificial intelligence and the eleventh Innovative applications of artificial intelligence conference innovative applications of artificial intelligence
Automatic Synthesis of Large Telescopic Units Based on Near-Minimum Timed Supersetting
IEEE Transactions on Computers
Balanced Codes with Parallel Encoding and Decoding
IEEE Transactions on Computers
Identifying loops in almost linear time
ACM Transactions on Programming Languages and Systems (TOPLAS)
Partial Scan with Preselected Scan Signals
IEEE Transactions on Computers
Proceedings of the 1999 annual ACM SIGAda international conference on Ada
PVGS '99 Proceedings of the 1999 IEEE symposium on Parallel visualization and graphics
Redundant trees for preplanned recovery in arbitrary vertex-redundant or edge-redundant graphs
IEEE/ACM Transactions on Networking (TON)
Control independence in trace processors
Proceedings of the 32nd annual ACM/IEEE international symposium on Microarchitecture
Practical extensions of point labeling in the slider model
Proceedings of the 7th ACM international symposium on Advances in geographic information systems
Optimization of queries with user-defined predicates
ACM Transactions on Database Systems (TODS)
A language for specifying recursive traversals of object structures
Proceedings of the 14th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
The generic graph component library
Proceedings of the 14th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
Temporal sequence learning and data reduction for anomaly detection
ACM Transactions on Information and System Security (TISSEC)
Partial Precedence Constrained Scheduling
IEEE Transactions on Computers
Constructing Optimal Search Trees in Optimal Time
IEEE Transactions on Computers
A mobility-transparent deterministic broadcast mechanism for ad hoc networks
IEEE/ACM Transactions on Networking (TON)
On the distribution of routing computation in hierarchical ATM networks
IEEE/ACM Transactions on Networking (TON)
Journal of the ACM (JACM)
From recursion to iteration: what are the optimizations?
PEPM '00 Proceedings of the 2000 ACM SIGPLAN workshop on Partial evaluation and semantics-based program manipulation
FPGA '00 Proceedings of the 2000 ACM/SIGDA eighth international symposium on Field programmable gate arrays
Generating highly-routable sparse crossbars for PLDs
FPGA '00 Proceedings of the 2000 ACM/SIGDA eighth international symposium on Field programmable gate arrays
Linear scan register allocation
ACM Transactions on Programming Languages and Systems (TOPLAS)
Two dimensional maximal elements problem on a reconfigurable optical pipelined bus system
SAC '98 Proceedings of the 1998 ACM symposium on Applied Computing
Finding investigator tours in telecommunication networks using genetic algorithms
SAC '96 Proceedings of the 1996 ACM symposium on Applied Computing
SAC '96 Proceedings of the 1996 ACM symposium on Applied Computing
Architecture-cognizant divide and conquer algorithms
SC '99 Proceedings of the 1999 ACM/IEEE conference on Supercomputing
Polylog-time and near-linear work approximation scheme for undirected shortest paths
Journal of the ACM (JACM)
A retrieval technique for virtual reality databases
SAC '97 Proceedings of the 1997 ACM symposium on Applied computing
Design and analysis of algorithms reconsidered
Proceedings of the thirty-first SIGCSE technical symposium on Computer science education
Optimal reliable crosstalk-driven interconnect optimization
ISPD '00 Proceedings of the 2000 international symposium on Physical design
An enhanced perturbing algorithm for floorplan design using the O-tree representation
ISPD '00 Proceedings of the 2000 international symposium on Physical design
Planning buffer locations by network flows
ISPD '00 Proceedings of the 2000 international symposium on Physical design
Sparse Representations for Image Decompositions
International Journal of Computer Vision
Secure group communications using key graphs
IEEE/ACM Transactions on Networking (TON)
Geodesic Active Contours and Level Sets for the Detection and Tracking of Moving Objects
IEEE Transactions on Pattern Analysis and Machine Intelligence
Intensive Data Management in Parallel Systems: A Survey
Distributed and Parallel Databases
Fuzzy Temporal/Categorical Information in Diagnosis
Journal of Intelligent Information Systems - Special issue on integrating artificial intelligene and database technologies
PODS '00 Proceedings of the nineteenth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Hardware spatial forwarding for widely shared data
Proceedings of the 14th international conference on Supercomputing
Shortest path queries in planar graphs
STOC '00 Proceedings of the thirty-second annual ACM symposium on Theory of computing
Finding replicated Web collections
SIGMOD '00 Proceedings of the 2000 ACM SIGMOD international conference on Management of data
Random sampling in geometric optimization: new insights and applications
Proceedings of the sixteenth annual symposium on Computational geometry
Pitfalls in computing with pseudorandom determinants
Proceedings of the sixteenth annual symposium on Computational geometry
Kinetic connectivity for unit disks
Proceedings of the sixteenth annual symposium on Computational geometry
Organizing topic-specific web information
HYPERTEXT '00 Proceedings of the eleventh ACM on Hypertext and hypermedia
Re-engineering structures from Web documents
DL '00 Proceedings of the fifth ACM conference on Digital libraries
Reward maximization in a non-stationary mobile robot environment
AGENTS '00 Proceedings of the fourth international conference on Autonomous agents
Learning functions represented as multiplicity automata
Journal of the ACM (JACM)
Hierarchical analysis of power distribution networks
Proceedings of the 37th Annual Design Automation Conference
Symbolic timing simulation using cluster scheduling
Proceedings of the 37th Annual Design Automation Conference
Synthesis and optimization of coordination controllers for distributed embedded systems
Proceedings of the 37th Annual Design Automation Conference
Block placement with symmetry constraints based on the O-tree non-slicing representation
Proceedings of the 37th Annual Design Automation Conference
MINFLOTRANSIT: min-cost flow based transistor sizing tool
Proceedings of the 37th Annual Design Automation Conference
Object Detection and Localization by Dynamic Template Warping
International Journal of Computer Vision
A dynamic programming approach to de novo peptide sequencing via tandem mass spectrometry
SODA '00 Proceedings of the eleventh annual ACM-SIAM symposium on Discrete algorithms
Escaping a grid by edge-disjoint paths
SODA '00 Proceedings of the eleventh annual ACM-SIAM symposium on Discrete algorithms
Pattern matching in dynamic texts
SODA '00 Proceedings of the eleventh annual ACM-SIAM symposium on Discrete algorithms
On external memory graph traversal
SODA '00 Proceedings of the eleventh annual ACM-SIAM symposium on Discrete algorithms
Stereo Without Epipolar Lines: A Maximum-Flow Formulation
International Journal of Computer Vision - Special issue on computer vision research at NEC Research Institute
Online multicast routing with bandwidth guarantees: a new approach using multicast network flow
Proceedings of the 2000 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Stable Internet routing without global coordination
Proceedings of the 2000 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
An efficient algorithm for finding a path subject to two additive constraints
Proceedings of the 2000 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
A novel design methodology for high performance and low power digital filters
ICCAD '99 Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design
Timing-driven partitioning for two-phase domino and mixed static/domino implementations
ICCAD '99 Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design
Circuits for wide-window superscalar processors
Proceedings of the 27th annual international symposium on Computer architecture
On-the-Fly Model Checking Under Fairness that Exploits Symmetry
Formal Methods in System Design
A clustering- and probability-based approach for time-multiplexed FPGA partitioning
ICCAD '99 Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design
Proceedings of the twelfth annual ACM symposium on Parallel algorithms and architectures
A no-busy-wait balanced tree parallel algorithmic paradigm
Proceedings of the twelfth annual ACM symposium on Parallel algorithms and architectures
Multidimensional Exploration of Software Implementationsfor DSP Algorithms
Journal of VLSI Signal Processing Systems - Special issue on recent advances in the design and implementation of signal processing systems
Has our curriculum become math-phobic? (an American perspective)
Proceedings of the 5th annual SIGCSE/SIGCUE ITiCSEconference on Innovation and technology in computer science education
1/k phase stamping for continuous shared data (extended abstract)
Proceedings of the nineteenth annual ACM symposium on Principles of distributed computing
TRBAC: a temporal role-based access control model
RBAC '00 Proceedings of the fifth ACM workshop on Role-based access control
Modeling users in role-based access control
RBAC '00 Proceedings of the fifth ACM workshop on Role-based access control
Device independent text input: a rationale and an example
AVI '00 Proceedings of the working conference on Advanced visual interfaces
Multicast tree construction and flooding in wireless ad hoc networks
Proceedings of the 3rd ACM international workshop on Modeling, analysis and simulation of wireless and mobile systems
Efficient identification of Web communities
Proceedings of the sixth ACM SIGKDD international conference on Knowledge discovery and data mining
Agglomerative clustering of a search engine query log
Proceedings of the sixth ACM SIGKDD international conference on Knowledge discovery and data mining
Constant Time Dynamic Programming on Directed Reconfigurable Networks
IEEE Transactions on Parallel and Distributed Systems
Memory-efficient state lookups with fast updates
Proceedings of the conference on Applications, Technologies, Architectures, and Protocols for Computer Communication
High-level library mapping for memories
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Optimizing computations for effective block-processing
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Modular interprocedural pointer analysis using access paths: design, implementation, and evaluation
PLDI '00 Proceedings of the ACM SIGPLAN 2000 conference on Programming language design and implementation
Optimal instruction scheduling using integer programming
PLDI '00 Proceedings of the ACM SIGPLAN 2000 conference on Programming language design and implementation
Contaminated garbage collection
PLDI '00 Proceedings of the ACM SIGPLAN 2000 conference on Programming language design and implementation
ABCD: eliminating array bounds checks on demand
PLDI '00 Proceedings of the ACM SIGPLAN 2000 conference on Programming language design and implementation
Crossroads
Make it practical: a generic linear-time algorithm for solving maximum-weightsum problems
ICFP '00 Proceedings of the fifth ACM SIGPLAN international conference on Functional programming
ICFP '00 Proceedings of the fifth ACM SIGPLAN international conference on Functional programming
Efficient transmission of stored video for improved management of network bandwidth
International Journal of Network Management
Competitive analysis of incentive compatible on-line auctions
Proceedings of the 2nd ACM conference on Electronic commerce
Journal of Electronic Testing: Theory and Applications
Fusion-based register allocation
ACM Transactions on Programming Languages and Systems (TOPLAS)
IEEE Transactions on Parallel and Distributed Systems
Structure from Motion: Beyond the Epipolar Constraint
International Journal of Computer Vision
On Optimal Replacement of Nonuniform Cache Objects
IEEE Transactions on Computers
New dynamic algorithms for shortest path tree computation
IEEE/ACM Transactions on Networking (TON)
POPL '01 Proceedings of the 28th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Behavioral Synthesis of Fault Secure Controller/Datapaths Based on Aliasing Probability Analysis
IEEE Transactions on Computers
IEEE Transactions on Computers
Adaptive CFAR PI Processor for Radar Target Detection in Pulse Jamming
Journal of VLSI Signal Processing Systems
Constraint analysis for code generation: basic techniques and applications in FACTS
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Dynamic planar convex hull operations in near-logarithmic amortized time
Journal of the ACM (JACM)
Decomposing polygon meshes for interactive applications
I3D '01 Proceedings of the 2001 symposium on Interactive 3D graphics
Engaging students with active learning resources: hypertextbooks for the web
Proceedings of the thirty-second SIGCSE technical symposium on Computer Science Education
Teaching internet algorithmics
Proceedings of the thirty-second SIGCSE technical symposium on Computer Science Education
A balanced code placement framework
ACM Transactions on Programming Languages and Systems (TOPLAS)
On the wavelength assignment problem in multifiber WDM star and ring networks
IEEE/ACM Transactions on Networking (TON)
Lattice approximation and linear discrepency of totally unimodular matrices
SODA '01 Proceedings of the twelfth annual ACM-SIAM symposium on Discrete algorithms
Optimal constrained graph exploration
SODA '01 Proceedings of the twelfth annual ACM-SIAM symposium on Discrete algorithms
The benefits and costs of DyC's run-time optimizations
ACM Transactions on Programming Languages and Systems (TOPLAS)
Proceedings of the conference on Design, automation and test in Europe
A constraint driven approach to loop pipelining and register binding
Proceedings of the conference on Design, automation and test in Europe
State relaxation based subsequence removal for fast static compaction in sequential circuits
Proceedings of the conference on Design, automation and test in Europe
Efficient minarea retiming of large level-clocked circuits
Proceedings of the conference on Design, automation and test in Europe
Feasible two-way circuit partitioning with complex resource constraints
ASP-DAC '00 Proceedings of the 2000 Asia and South Pacific Design Automation Conference
Implementation of Strassen's algorithm for matrix multiplication
Supercomputing '96 Proceedings of the 1996 ACM/IEEE conference on Supercomputing
Efficiency by Incrementalization: An Introduction
Higher-Order and Symbolic Computation
Gene-finding via tandem mass spectrometry
RECOMB '01 Proceedings of the fifth annual international conference on Computational biology
Algorithms for identifying protein cross-links via tandem mass spectrometry
RECOMB '01 Proceedings of the fifth annual international conference on Computational biology
Geometric algorithms for the analysis of 2D-electrophoresis gels
RECOMB '01 Proceedings of the fifth annual international conference on Computational biology
Teaching advanced problem solving: implications for the CS curriculum
CCSC '00 Proceedings of the fourteenth annual consortium on Small Colleges Southeastern conference
Presenting computer algorithm knowledge units in computer science curriculum
CCSC '00 Proceedings of the fourteenth annual consortium on Small Colleges Southeastern conference
On Estimating the Large Entries of a Convolution
IEEE Transactions on Computers
Estimating routing congestion using probabilistic analysis
Proceedings of the 2001 international symposium on Physical design
An exact algorithm for solving difficult detailed routing problems
Proceedings of the 2001 international symposium on Physical design
Efficient minimum spanning tree construction without Delaunay triangulation
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
Exploring Hypermedia Processor Design Space
Journal of VLSI Signal Processing Systems - Special issue on multimedia signal processing
Automatic generation of hypertextbook Webs
Proceedings of the 2001 ACM symposium on Applied computing
Using assortative mating in genetic algorithms for vector quantization problems
Proceedings of the 2001 ACM symposium on Applied computing
Algorithms to detect chained-inference faults in information distribution systems
Proceedings of the 2001 ACM symposium on Applied computing
Performance specification of software components
SSR '01 Proceedings of the 2001 symposium on Software reusability: putting software reuse in context
New techniques for topologically correct surface reconstruction
Proceedings of the conference on Visualization '00
A guided tour to approximate string matching
ACM Computing Surveys (CSUR)
A software engineering perspective on algorithmics
ACM Computing Surveys (CSUR)
Pipelining in multi-query optimization
PODS '01 Proceedings of the twentieth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Independence is good: dependency-based histogram synopses for high-dimensional data
SIGMOD '01 Proceedings of the 2001 ACM SIGMOD international conference on Management of data
Generating efficient plans for queries using views
SIGMOD '01 Proceedings of the 2001 ACM SIGMOD international conference on Management of data
Improved master theorems for divide-and-conquer recurrences
Journal of the ACM (JACM)
On-line analysis of the TCP acknowledgment delay problem
Journal of the ACM (JACM)
Multiseeded Segmentation Using Fuzzy Connectedness
IEEE Transactions on Pattern Analysis and Machine Intelligence
Optimal Processor Mapping for Linear-Complement Communication on Hypercubes
IEEE Transactions on Parallel and Distributed Systems
A geometric algorithm for selecting optimal set of cutters for multi-part milling
Proceedings of the sixth ACM symposium on Solid modeling and applications
Metacognitive awareness utilized for learning control elements in algorithmic problem solving
Proceedings of the 6th annual conference on Innovation and technology in computer science education
EVEGA: an educational visulalization environment for graph algorithms
Proceedings of the 6th annual conference on Innovation and technology in computer science education
Monotonic evolution: an alternative to induction variable substitution for dependence analysis
ICS '01 Proceedings of the 15th international conference on Supercomputing
Dimensioning server access bandwidth and multicast routing in overlay networks
NOSSDAV '01 Proceedings of the 11th international workshop on Network and operating systems support for digital audio and video
Efficient parallel exponentiation in GF(2n) using normal basis representations
Proceedings of the thirteenth annual ACM symposium on Parallel algorithms and architectures
Proceedings of the thirteenth annual ACM symposium on Parallel algorithms and architectures
A data tracking scheme for general networks
Proceedings of the thirteenth annual ACM symposium on Parallel algorithms and architectures
A randomized art-gallery algorithm for sensor placement
SCG '01 Proceedings of the seventeenth annual symposium on Computational geometry
Finding strongly connected components in parallel in particle transport sweeps
Proceedings of the thirteenth annual ACM symposium on Parallel algorithms and architectures
The pointer assertion logic engine
Proceedings of the ACM SIGPLAN 2001 conference on Programming language design and implementation
Static schedluing of multiple asynchronous domains for functional verification
Proceedings of the 38th annual Design Automation Conference
Improved cut sequences for partitioning based placement
Proceedings of the 38th annual Design Automation Conference
Execution-based prediction using speculative slices
ISCA '01 Proceedings of the 28th annual international symposium on Computer architecture
Evaluating the impact of stale link state on quality-of-service routing
IEEE/ACM Transactions on Networking (TON)
Optimal Placement of Replicas in Trees with Read, Write, and Storage Costs
IEEE Transactions on Parallel and Distributed Systems
STOC '01 Proceedings of the thirty-third annual ACM symposium on Theory of computing
Buffer overflow management in QoS switches
STOC '01 Proceedings of the thirty-third annual ACM symposium on Theory of computing
Directed explicit model checking with HSF-SPIN
SPIN '01 Proceedings of the 8th international SPIN workshop on Model checking of software
Transformations for model checking distributed Java programs
SPIN '01 Proceedings of the 8th international SPIN workshop on Model checking of software
Exposure in wireless Ad-Hoc sensor networks
Proceedings of the 7th annual international conference on Mobile computing and networking
External memory algorithms and data structures: dealing with massive data
ACM Computing Surveys (CSUR)
Automatic Accurate Live Memory Analysis for Garbage-Collected Languages
OM '01 Proceedings of the 2001 ACM SIGPLAN workshop on Optimization of middleware and distributed systems
Fast priority queues for cached memory
Journal of Experimental Algorithmics (JEA)
Finding minimum congestion spanning trees
Journal of Experimental Algorithmics (JEA)
Dijkstra's algorithm on-line: an empirical case study from public railroad transport
Journal of Experimental Algorithmics (JEA)
Proceedings of the 14th international symposium on Systems synthesis
Adaptive nearest neighbor search for relevance feedback in large image databases
MULTIMEDIA '01 Proceedings of the ninth ACM international conference on Multimedia
JGAP: a Java-based graph algorithms platform
Software—Practice & Experience
N-Dimensional Tensor Voting and Application to Epipolar Geometry Estimation
IEEE Transactions on Pattern Analysis and Machine Intelligence
A comparison of point-based approaches to qualitative temporal reasoning
Artificial Intelligence
Localized algorithms in wireless ad-hoc networks: location discovery and sensor exposure
MobiHoc '01 Proceedings of the 2nd ACM international symposium on Mobile ad hoc networking & computing
Reversible Debugging Using Program Instrumentation
IEEE Transactions on Software Engineering
TRBAC: A temporal role-based access control model
ACM Transactions on Information and System Security (TISSEC)
Provably authenticated group Diffie-Hellman key exchange
CCS '01 Proceedings of the 8th ACM conference on Computer and Communications Security
Efficient longest executable path search for programs with complex flows and pipeline effects
CASES '01 Proceedings of the 2001 international conference on Compilers, architecture, and synthesis for embedded systems
Interactive 3D modeling using only one image
VRST '00 Proceedings of the ACM symposium on Virtual reality software and technology
A spectral method to separate disconnected and nearly-disconnected web graph components
Proceedings of the seventh ACM SIGKDD international conference on Knowledge discovery and data mining
Program optimization using indexed and recursive data structures
PEPM '02 Proceedings of the 2002 ACM SIGPLAN workshop on Partial evaluation and semantics-based program manipulation
A parameterized type system for race-free Java programs
OOPSLA '01 Proceedings of the 16th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
Stable internet routing without global coordination
IEEE/ACM Transactions on Networking (TON)
IDMaps: a global internet host distance estimation service
IEEE/ACM Transactions on Networking (TON)
QoS provisioning and tracking fluid policies in input queueing switches
IEEE/ACM Transactions on Networking (TON)
Bandwidth-allocation policies for unicast and multicast flows
IEEE/ACM Transactions on Networking (TON)
General technology mapping for field-programmable gate arrays based on lookup tables
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Gene assembly in ciliates: computing by folding and recombination
A half-century of automata theory
Optimized pin assignment for lower routing congestion after floorplanning phase
SLIP '02 Proceedings of the 2002 international workshop on System-level interconnect prediction
Technology mapping for high-performance static CMOS and pass transistor logic designs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Cost-Optimal Parallel Algorithms for the Tree Bisector and Related Problems
IEEE Transactions on Parallel and Distributed Systems
Information and Computation
Scalable hierarchical coarse-grained timers
ACM SIGOPS Operating Systems Review
Parallel computing with generalized cellular automata
Progress in computer research
Efficient and flexible matching of recursive types
Information and Computation
Algorithm for optimal winner determination in combinatorial auctions
Artificial Intelligence
Hiding Relaxed Memory Consistency with a Compiler
IEEE Transactions on Computers - Special issue on the parallel architecture and compilation techniques conference
A framework for guaranteeing statistical QoS
IEEE/ACM Transactions on Networking (TON)
On multicast flow control for heterogeneous receivers
IEEE/ACM Transactions on Networking (TON)
Optimal partition of QoS requirements on unicast paths and multicast trees
IEEE/ACM Transactions on Networking (TON)
Error spreading: a perception-driven approach to handling error in continuous media streaming
IEEE/ACM Transactions on Networking (TON)
Optimal Tree Access by Elementary and Composite Templates in Parallel Memory Systems
IEEE Transactions on Parallel and Distributed Systems
Rational Interpolation Examples in Performance Analysis
IEEE Transactions on Computers
Leakage control with efficient use of transistor stacks in single threshold CMOS
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Branching time controllers for discrete event systems
Theoretical Computer Science
EquiX---a search and query language for XML
Journal of the American Society for Information Science and Technology - XML
A Fast and Efficient Processor Allocation Scheme for Mesh-Connected Multicomputers
IEEE Transactions on Computers
Heuristic algorithms for multiconstrained quality-of-service routing
IEEE/ACM Transactions on Networking (TON)
Artistic Vision: painterly rendering using computer vision techniques
NPAR '02 Proceedings of the 2nd international symposium on Non-photorealistic animation and rendering
Efficient selection algorithms on distributed memory computers
SC '98 Proceedings of the 1998 ACM/IEEE conference on Supercomputing
3D in the Pines and on the Plains
SC '98 Proceedings of the 1998 ACM/IEEE conference on Supercomputing
Multicast: concept, problems, routing protocols, algorithms and QoS extensions
Distributed multimedia databases
Architectural tradeoff in implementing RSA processors
ACM SIGARCH Computer Architecture News
A probabilistic approach to automated bidding in alternative auctions
Proceedings of the 11th international conference on World Wide Web
Finding shortest paths in large network systems
Proceedings of the 9th ACM international symposium on Advances in geographic information systems
Network planning using geomorphology
Proceedings of the 9th ACM international symposium on Advances in geographic information systems
Spatial modeling and reasoning for automatic dimensional inspection
From geometric modeling to shape modeling
Understanding the connectivity of heap objects
Proceedings of the 3rd international symposium on Memory management
Fast copy coalescing and live-range identification
PLDI '02 Proceedings of the ACM SIGPLAN 2002 Conference on Programming language design and implementation
Parametric search made practical
Proceedings of the eighteenth annual symposium on Computational geometry
Finding the consensus shape for a protein family
Proceedings of the eighteenth annual symposium on Computational geometry
Kinetic maintenance of context-sensitive hierarchical representations for disjoint simple polygons
Proceedings of the eighteenth annual symposium on Computational geometry
An algorithm for integrated pin assignment and buffer planning
Proceedings of the 39th annual Design Automation Conference
Proceedings of the 39th annual Design Automation Conference
TCG-S: orthogonal coupling of P*-admissible representations for general floorplans
Proceedings of the 39th annual Design Automation Conference
Affinity-based cluster assignment for unrolled loops
ICS '02 Proceedings of the 16th international conference on Supercomputing
Monotone bipartitioning problem in a planar point set with applications to VLSI
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Technology mapping algorithms for domino logic
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Skeleton-based agent development for electronic institutions
Proceedings of the first international joint conference on Autonomous agents and multiagent systems: part 2
Agents dealing with time and uncertainty
Proceedings of the first international joint conference on Autonomous agents and multiagent systems: part 2
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
Motorcycle graphs and straight skeletons
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
Computing shortest paths with comparisons and additions
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
Minimizing randomness in minimum spanning tree, parallel connectivity, and set maxima algorithms
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
(Incremental) priority algorithms
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
Word-based block-sorting text compression
ACSC '01 Proceedings of the 24th Australasian conference on Computer science
Length-restricted coding using modified probability distributions
ACSC '01 Proceedings of the 24th Australasian conference on Computer science
Visual representations for recursive algorithms
SIGCSE '02 Proceedings of the 33rd SIGCSE technical symposium on Computer science education
Algorithms and object-oriented programming: bridging the gap
SIGCSE '02 Proceedings of the 33rd SIGCSE technical symposium on Computer science education
On varying perspectives of problem decomposition
SIGCSE '02 Proceedings of the 33rd SIGCSE technical symposium on Computer science education
Performance of data structures for small sets of strings
ACSC '02 Proceedings of the twenty-fifth Australasian conference on Computer science - Volume 4
Conservative simulation using distributed-shared memory
Proceedings of the sixteenth workshop on Parallel and distributed simulation
Minimal probing: supporting expensive predicates for top-k queries
Proceedings of the 2002 ACM SIGMOD international conference on Management of data
High performance dynamic lock-free hash tables and list-based sets
Proceedings of the fourteenth annual ACM symposium on Parallel algorithms and architectures
Two techniques for reconciling algorithm parallelism with memory constraints
Proceedings of the fourteenth annual ACM symposium on Parallel algorithms and architectures
Exploiting flexibly assignable work to improve load balance
Proceedings of the fourteenth annual ACM symposium on Parallel algorithms and architectures
Proceedings of the sixth annual international conference on Computational biology
String barcoding: uncovering optimal virus signatures
Proceedings of the sixth annual international conference on Computational biology
Performance-Effective and Low-Complexity Task Scheduling for Heterogeneous Computing
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
A Classification of Noncircular Attribute Grammars Based on the Look-Ahead Behavior
IEEE Transactions on Software Engineering
Korat: automated testing based on Java predicates
ISSTA '02 Proceedings of the 2002 ACM SIGSOFT international symposium on Software testing and analysis
Motion texture: a two-level statistical model for character motion synthesis
Proceedings of the 29th annual conference on Computer graphics and interactive techniques
Performance estimation for real-time distributed embedded systems
Readings in hardware/software co-design
Interface co-synthesis techniques for embedded systems
Readings in hardware/software co-design
Constraint analysis for DSP code generation
Readings in hardware/software co-design
Logic emulation with virtual wires
Readings in hardware/software co-design
Handling irreducible loops: optimized node splitting versus DJ-graphs
ACM Transactions on Programming Languages and Systems (TOPLAS)
On sparse evaluation representations
Theoretical Computer Science
UST/DME: a clock tree router for general skew constraints
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Parallel computing with generalized cellular automata
Progress in computer research
Automatic generation of efficient string matching algorithms by generalized partial computation
ASIA-PEPM '02 Proceedings of the ASIAN symposium on Partial evaluation and semantics-based program manipulation
Logically Clustered Architectures for Networked Databases
Distributed and Parallel Databases
On the Performance of Connected Components Grouping
International Journal of Computer Vision
Combining topological and size information for spatial reasoning
Artificial Intelligence
On the interdependence of routing and data compression in multi-hop sensor networks
Proceedings of the 8th annual international conference on Mobile computing and networking
High-performance hardware design and implementation of genetic algorithms
Hardware implementation of intelligent systems
On loops, dominators, and dominance frontiers
ACM Transactions on Programming Languages and Systems (TOPLAS)
Neural methods for dynamic branch prediction
ACM Transactions on Computer Systems (TOCS)
Safe memory reclamation for dynamic lock-free objects using atomic reads and writes
Proceedings of the twenty-first annual symposium on Principles of distributed computing
Proceedings of the twenty-first annual symposium on Principles of distributed computing
Starting top-down, refining bottom-up, sharpening by zoom-in
ACM SIGCSE Bulletin
Getting the most from an algorithms design course: a personal experience
ACM SIGCSE Bulletin
Algebra and algorithms for QoS path computation and hop-by-hop routing in the internet
IEEE/ACM Transactions on Networking (TON)
Computing shortest paths for any number of hops
IEEE/ACM Transactions on Networking (TON)
Multicast routing and wavelength assignment in multihop optical networks
IEEE/ACM Transactions on Networking (TON)
Ownership types for safe programming: preventing data races and deadlocks
OOPSLA '02 Proceedings of the 17th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
Exposure in wireless sensor networks: theory and practical solutions
Wireless Networks
Optimizing Personal Computer Configurationswith Heuristic-Based Search Methods
Artificial Intelligence Review
The Correctness of the Fast Fourier Transform: A Structured Proof in ACL2
Formal Methods in System Design
Capturing term dependencies using a language model based on sentence trees
Proceedings of the eleventh international conference on Information and knowledge management
A performance comparison of tree data structures for N-body simulation
Journal of Computational Physics
A simplified correctness proof for a well-known algorithm computing strongly connected components
Information Processing Letters
Information Processing Letters
A note concerning the closest point pair algorithm
Information Processing Letters
Surface reconstruction using umbrella filters
Computational Geometry: Theory and Applications - Special issue on: Sixteenth European Workshop on Computational Geometry (EUROCG-2000)
An efficient network flow code for finding all minimum cost s-t cutsets
Computers and Operations Research
The cable trench problem: combining the shortest path and minimum spanning tree problems
Computers and Operations Research
A three-dimensional holes closing algorithm
Pattern Recognition Letters
Temporal Reasoning in Workflow Systems
Distributed and Parallel Databases
Program transformation system based on generalized partial computation
New Generation Computing - Partial evaluation and program transformation
Efficient algorithms for debugging timing constraint violations
Proceedings of the 8th ACM/IEEE international workshop on Timing issues in the specification and synthesis of digital systems
Some computations on the spectra of Pisot and Salem numbers
Mathematics of Computation
Nonmonotonic reasoning: from complexity to algorithms
Annals of Mathematics and Artificial Intelligence
Annals of Mathematics and Artificial Intelligence
Scheduling of parallel identical machines to maximize the weighted number of just-in-time jobs
Computers and Operations Research
Mixed Global Constraints and Inference in Hybrid CLP–IP Solvers
Annals of Mathematics and Artificial Intelligence
Integrated architectural modeling and analysis for high-assurance command and control system design
Annals of Software Engineering
Design-code traceability for object-oriented systems
Annals of Software Engineering
Interactive Case-Based Planning for Forest Fire Management
Applied Intelligence
Logic Control and “Reactive” Systems: Algorithmization and Programming
Automation and Remote Control
Automatic Real-Time Analysis of Reactive Systems with the PARTS Toolset
Automated Software Engineering
The Stability, Scalability and Performance of Multi-agent Systems
BT Technology Journal
QoS and Contention-Aware Multi-Resource Reservation
Cluster Computing
Temporal Constraints: A Survey
Constraints
Dynamical Properties of Timed Automata
Discrete Event Dynamic Systems
Information Retrieval
Data Structures in the Design of Interfaces
Personal and Ubiquitous Computing
Practical Extensions of Point Labeling in the Slider Model*
Geoinformatica
Modeling Costs of Turns in Route Planning
Geoinformatica
A High-Performance, Pipelined, FPGA-Based Genetic Algorithm Machine
Genetic Programming and Evolvable Machines
Heuristic Learning Based on Genetic Programming
Genetic Programming and Evolvable Machines
Combinatorial Optimization by Dynamic Contraction
Journal of Heuristics
ATM Routing Algorithms for Multimedia Trafficin Private ATM Networks
Journal of Heuristics
A Fast and Effective Algorithm for the Feedback Arc Set Problem
Journal of Heuristics
Soft Constraint Logic Programming and Generalized Shortest Path Problems
Journal of Heuristics
Constraint Programming Based Column Generation for Crew Assignment
Journal of Heuristics
Multimedia Tools and Applications
Multimedia Tools and Applications
Bottom-Up Generation of Hypermedia Documents
Multimedia Tools and Applications
The Problem of Schedule Construction in the Joint Design of Hardware and Software
Programming and Computing Software
A Posteriory Probability Decoding of Nonsystematically Encoded Block Codes
Problems of Information Transmission
Practical automated detection of stealthy portscans
Journal of Computer Security
Parallel simulation by multi-instruction, longest-path algorithms
Queueing Systems: Theory and Applications
Scheduling Multiclass Packet Streams to Minimize Weighted Loss
Queueing Systems: Theory and Applications
Synthesis of Embedded Software from Synchronous Dataflow Specifications
Journal of VLSI Signal Processing Systems
Synthesis and Optimization of Combinational Interface Circuits
Journal of VLSI Signal Processing Systems
Efficient computation of the topology of level sets
Proceedings of the conference on Visualization '02
Seamster: inconspicuous low-distortion texture seam layout
Proceedings of the conference on Visualization '02
Observability analysis of embedded software for coverage-directed validation
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
Symbolic debugging scheme for optimized hardware and software
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
UST/DME: a clock tree router for general skew constraints
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
Frequency domain analysis of switching noise on power supply network
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
Static scheduling of multi-domain memories for functional verification
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
A search-based bump-and-refit approach to incremental routing for ECO applications in FPGAs
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
An algorithm for simultaneous pin assignment and routing
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Constraint satisfaction for relative location assignment and scheduling
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
NULL Convention multiply and accumulate unit with conditional rounding, scaling, and saturation
Journal of Systems Architecture: the EUROMICRO Journal
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
On complexity of easy predictable sequences
Information and Computation
Minimum-energy broadcasting in static ad hoc wireless networks
Wireless Networks
Comparative analysis of path computation techniques for MPLS traffic engineering
Computer Networks: The International Journal of Computer and Telecommunications Networking - Special issue: Towards a new internet architecture
Towards a theory of natural language interfaces to databases
Proceedings of the 8th international conference on Intelligent user interfaces
POPL '03 Proceedings of the 30th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
The size distribution for Markov equivalence classes of acyclic digraph models
Artificial Intelligence
Consistent parametrization by quinary subdivision for remeshing and mesh metamorphosis
Proceedings of the 1st international conference on Computer graphics and interactive techniques in Australasia and South East Asia
A note on practical construction of maximum bandwidth paths
Information Processing Letters
Behavioral synthesis of field programmable analog array circuits
ACM Transactions on Design Automation of Electronic Systems (TODAES)
A search-based bump-and-refit approach to incremental routing for ECO applications in FPGAs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Sewing ribbons on graphs in space
Journal of Combinatorial Theory Series B
Journal of Algorithms - Analysis of algorithms
Transistor placement for noncomplementary digital VLSI cell synthesis
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Resource Allocation with Wobbly Functions
Computational Optimization and Applications
Algebric Decision Diagrams and Their Applications
Formal Methods in System Design
A Symbolic Algorithms for Maximum Flow in 0-1 Networks
Formal Methods in System Design
Synapses as dynamic memory buffers
Neural Networks
Temporal Reasoning for a Collaborative Planning Agent in a Dynamic Environment
Annals of Mathematics and Artificial Intelligence
Scenario Reduction Algorithms in Stochastic Programming
Computational Optimization and Applications
Rational Coordination in Multi-Agent Environments
Autonomous Agents and Multi-Agent Systems
Parallel Implementations of the Selection Problem: A Case Study
International Journal of Parallel Programming
Automatic Parallelization of Recursive Procedures
International Journal of Parallel Programming
Architectures for Heterogeneous Multi-Tier Networks
Wireless Personal Communications: An International Journal
A strategy for searching with different access costs
Theoretical Computer Science
Concurrent round-robin-based dispatching schemes for Clos-network switches
IEEE/ACM Transactions on Networking (TON)
The greedy trap and learning from mistakes
SIGCSE '03 Proceedings of the 34th SIGCSE technical symposium on Computer science education
MiSFIT: Constructing Safe Extensible Systems
IEEE Concurrency
Exploiting Triangulated Surface Extraction Using Tetrahedral Decomposition
IEEE Transactions on Visualization and Computer Graphics
An Algorithm for the Medial Axis Transform of 3D Polyhedral Solids
IEEE Transactions on Visualization and Computer Graphics
The Lazy Sweep Ray Casting Algorithm for Rendering Irregular Grids
IEEE Transactions on Visualization and Computer Graphics
Fast Horizon Computation at All Points of a Terrain With Visibility and Shading Applications
IEEE Transactions on Visualization and Computer Graphics
Locally Toleranced Surface Simplification
IEEE Transactions on Visualization and Computer Graphics
'Meshsweeper': Dynamic Point-to-Polygonal-Mesh Distance and Applications
IEEE Transactions on Visualization and Computer Graphics
Cutting and Stitching: Converting Sets of Polygons to Manifold Surfaces
IEEE Transactions on Visualization and Computer Graphics
An Online Tutoring System for Language Translation
IEEE MultiMedia
Computational Complexity for Physicists
Computing in Science and Engineering
Discovery Visualization Using Fast Clustering
IEEE Computer Graphics and Applications
Fault Isolation in an Integrated Diagnostic Environment
IEEE Design & Test
A Methodology for Synthesis of Data Path Circuitse
IEEE Design & Test
Portable and Fault-Tolerant Software Systems
IEEE Micro
IEEE Micro
Recasting Algorithms to Encourage Reuse
IEEE Software
Optimal Configuring of Multiple Scan Chains
IEEE Transactions on Computers
An Optimal Graph-Construction Approach to Placing Program Signatures for Signature Monitoring
IEEE Transactions on Computers
A Fast VLSI-Efficient Self-Routing Permutation Network
IEEE Transactions on Computers
Certification of Computational Results
IEEE Transactions on Computers
A Combinatorial Analysis of Subcube Reliability in Hypercubes
IEEE Transactions on Computers
Zero-Aliasing for Modeled Faults
IEEE Transactions on Computers
Evaluation of a Diagnosis Algorithm for Regular Structures
IEEE Transactions on Computers
IEEE Transactions on Computers
Efficient Data Allocation over Multiple Channels at Broadcast Servers
IEEE Transactions on Computers
Global Optimization for Satisfiability (SAT) Problem
IEEE Transactions on Knowledge and Data Engineering
Efficient Local Search with Conflict Minimization: A Case Study of the n-Queens Problem
IEEE Transactions on Knowledge and Data Engineering
IEEE Transactions on Knowledge and Data Engineering
Designing Access Methods for Bitemporal Databases
IEEE Transactions on Knowledge and Data Engineering
OSAM*.KBMS/P: A Parallel, Active, Object-Oriented Knowledge Base Server
IEEE Transactions on Knowledge and Data Engineering
Collaborative Multimedia Systems: Synthesis of Media Objects
IEEE Transactions on Knowledge and Data Engineering
IEEE Transactions on Knowledge and Data Engineering
Temporal Synchronization Models for Multimedia Data
IEEE Transactions on Knowledge and Data Engineering
MPGS: An Interactive Tool for the Specification and Generation of Multimedia Presentations
IEEE Transactions on Knowledge and Data Engineering
Data Semantics for Improving Retrieval Performance of Digital News Video Systems
IEEE Transactions on Knowledge and Data Engineering
Indexing Animated Objects Using Spatiotemporal Access Methods
IEEE Transactions on Knowledge and Data Engineering
Finite Satisfiability of Integrity Constraints in Object-Oriented Database Schemas
IEEE Transactions on Knowledge and Data Engineering
IEEE Transactions on Knowledge and Data Engineering
IEEE Transactions on Knowledge and Data Engineering
ACIRD: Intelligent Internet Document Organization and Retrieval
IEEE Transactions on Knowledge and Data Engineering
Hashing Methods for Temporal Data
IEEE Transactions on Knowledge and Data Engineering
Transaction Processing in Mobile, Heterogeneous Database Systems
IEEE Transactions on Knowledge and Data Engineering
Efficient Join-Index-Based Spatial-Join Processing: A Clustering Approach
IEEE Transactions on Knowledge and Data Engineering
IEEE Transactions on Pattern Analysis and Machine Intelligence
Matching Free Trees, Maximal Cliques, and Monotone Game Dynamics
IEEE Transactions on Pattern Analysis and Machine Intelligence
Efficient Dilation, Erosion, Opening, and Closing Algorithms
IEEE Transactions on Pattern Analysis and Machine Intelligence
Representation and Self-Similarity of Shapes
IEEE Transactions on Pattern Analysis and Machine Intelligence
Adaptive Binary Sorting Schemes and Associated Interconnection Networks
IEEE Transactions on Parallel and Distributed Systems
Generation of Injective and Reversible Modular Mappings
IEEE Transactions on Parallel and Distributed Systems
On some reliability estimation problems in random and partition testing
IEEE Transactions on Software Engineering
On the Efficient Engineering of Ambitious Program Analysis
IEEE Transactions on Software Engineering
Recovering Traceability Links between Code and Documentation
IEEE Transactions on Software Engineering
Journal of Algorithms
Understanding BGP misconfiguration
Proceedings of the 2002 conference on Applications, technologies, architectures, and protocols for computer communications
Successful user interface design from efficient computer algorithms
CHI '00 Extended Abstracts on Human Factors in Computing Systems
StrCombo: combination of string recognizers
Pattern Recognition Letters - In memory of Professor E.S. Gelsema
A decomposition-based approach to layered manufacturing
Computational Geometry: Theory and Applications
On the discovery of process models from their instances
Decision Support Systems
A fully dynamic algorithm for maintaining the transitive closure
Journal of Computer and System Sciences - STOC 1999
The consensus string problem and the complexity of comparing hidden Markov models
Journal of Computer and System Sciences - Computational biology 2002
Journal of Computer and System Sciences - Computational biology 2002
MAX3SAT is exponentially hard to approximate if NP has positive dimension
Theoretical Computer Science
Correctness of substring-preprocessing in Boyer-Moore's pattern matching algorithm
Theoretical Computer Science
Search space reduction in QoS routing
Computer Networks: The International Journal of Computer and Telecommunications Networking
Evolutionary Computation
Configuring sessions in programmable networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Semiring frameworks and algorithms for shortest-distance problems
Journal of Automata, Languages and Combinatorics
Efficient Steiner tree construction based on spanning graphs
Proceedings of the 2003 international symposium on Physical design
Efficient exact value computation and applications to biosequence analysis
RECOMB '03 Proceedings of the seventh annual international conference on Research in computational molecular biology
Towards optimally multiplexed applications of universal DNA tag systems
RECOMB '03 Proceedings of the seventh annual international conference on Research in computational molecular biology
A new flexible algorithm for the longest common subsequence problem
Nordic Journal of Computing
Database indexing for large DNA and protein sequence collections
The VLDB Journal — The International Journal on Very Large Data Bases
Compiling dyadic first-order specifications into map algebra
Theoretical Computer Science - Algebraic methods in language processing
Fault-tolerant linear broadcasting
Nordic Journal of Computing
Modeling Value Speculation: An Optimal Edge Selection Problem
IEEE Transactions on Computers
IEEE Transactions on Knowledge and Data Engineering
Optimal roundings of sequences and matrices
Nordic Journal of Computing
BDD Based Procedures for a Theory of Equality with Uninterpreted Functions
Formal Methods in System Design
Quick and good facility location
SODA '03 Proceedings of the fourteenth annual ACM-SIAM symposium on Discrete algorithms
The set-associative cache performance of search trees
SODA '03 Proceedings of the fourteenth annual ACM-SIAM symposium on Discrete algorithms
Computing strongly connected components in a linear number of symbolic steps
SODA '03 Proceedings of the fourteenth annual ACM-SIAM symposium on Discrete algorithms
Dynamic Programming via Static Incrementalization
Higher-Order and Symbolic Computation
Multicast in large WDM networks
Progress in computer research
Symbiotic Composition and Evolvability
ECAL '01 Proceedings of the 6th European Conference on Advances in Artificial Life
Combining Appearance and Topology for Wide Baseline Matching
ECCV '02 Proceedings of the 7th European Conference on Computer Vision-Part I
Spectral Partitioning with Indefinite Kernels Using the Nyström Extension
ECCV '02 Proceedings of the 7th European Conference on Computer Vision-Part III
Intrinsic Images for Dense Stereo Matching with Occlusions
ECCV '00 Proceedings of the 6th European Conference on Computer Vision-Part I
A Probabilistic Interpretation of the Saliency Network
ECCV '00 Proceedings of the 6th European Conference on Computer Vision-Part II
Complexity Approximation Principle and Rissanen's Approach to Real-Valued Parameters
ECML '00 Proceedings of the 11th European Conference on Machine Learning
Dynamic Programming via Static Incrementalization
ESOP '99 Proceedings of the 8th European Symposium on Programming Languages and Systems
A Standard Problem for Evaluating Product-Line Methodologies
GCSE '01 Proceedings of the Third International Conference on Generative and Component-Based Software Engineering
Interpreting Sloppy Stick Figures by Graph Rectification and Constraint-Based Matching
GREC '01 Selected Papers from the Fourth International Workshop on Graphics Recognition Algorithms and Applications
Heterogeneous Computing: Goals, Methods, and Open Problems
HiPC '01 Proceedings of the 8th International Conference on High Performance Computing
ICCS '02 Proceedings of the International Conference on Computational Science-Part III
Automatic generation of injective modular mappings
ICPP '97 Proceedings of the international Conference on Parallel Processing
Processing XML Streams with Deterministic Automata
ICDT '03 Proceedings of the 9th International Conference on Database Theory
Early-Reply Components: Concurrent Execution with Sequential Reasoning
ICSR-7 Proceedings of the 7th International Conference on Software Reuse: Methods, Techniques, and Tools
A Fast QoS Adaptation Algorithm for MPEG-4 Multimedia Applications
IDMS/PROMS 2002 Proceedings of the Joint International Workshops on Interactive Distributed Multimedia Systems and Protocols for Multimedia Systems: Protocols and Systems for Interactive Distributed Multimedia
Self-Timed Resynchronization: A Post-Optimization for Static Multiprocessor Schedules
IPPS '96 Proceedings of the 10th International Parallel Processing Symposium
Survivable Computer Networks in the Presence of Partitioning
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Memory-Intensive Benchmarks: IRAM vs. Cache-Based Machines
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Practical Parallel Algorithms for Dynamic Data Redistribution, Median Finding, and Selection
IPPS '96 Proceedings of the 10th International Parallel Processing Symposium
Optimizing Graph Algorithms for Improved Cache Performance
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Task Matching and Scheduling in Heterogeneous Systems Using Simulated Evolution
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Bandwidth Tracking in Distributed Heterogeneous Networking Environments
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Optimal Tree Access by Elementary and Composite Templates in Parallel Memory Systems
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Dynamically Scaling Computer Networks
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
A Parallel, Adaptive Refinement Scheme for Tetrahedral and Triangular Grids
IPDPS '00 Proceedings of the 15 IPDPS 2000 Workshops on Parallel and Distributed Processing
On Identifying Strongly Connected Components in Parallel
IPDPS '00 Proceedings of the 15 IPDPS 2000 Workshops on Parallel and Distributed Processing
Improving Offset Assignment for Embedded Processors
LCPC '00 Proceedings of the 13th International Workshop on Languages and Compilers for Parallel Computing-Revised Papers
Simplifying Binary Propositional Theories into Connected Components Twice as Fast
LPAR '01 Proceedings of the Artificial Intelligence on Logic for Programming
Scheduling Strategies for Master-Slave Tasking on Heterogeneous Processor Grids
PARA '02 Proceedings of the 6th International Conference on Applied Parallel Computing Advanced Scientific Computing
Cellular-Pipelined Algorithm Architecture for Polynomial Computing
PaCT '01 Proceedings of the 6th International Conference on Parallel Computing Technologies
JMatch: Iterable Abstract Pattern Matching for Java
PADL '03 Proceedings of the 5th International Symposium on Practical Aspects of Declarative Languages
A New Numerical Abstract Domain Based on Difference-Bound Matrices
PADO '01 Proceedings of the Second Symposium on Programs as Data Objects
Estimating Execution Time of Distributed Applications
PPAM '01 Proceedings of the th International Conference on Parallel Processing and Applied Mathematics-Revised Papers
Attacking the Complexity of Prioritized Inference Preliminary Report
SBIA '02 Proceedings of the 16th Brazilian Symposium on Artificial Intelligence: Advances in Artificial Intelligence
Managing Intervals Efficiently in Object-Relational Databases
VLDB '00 Proceedings of the 26th International Conference on Very Large Data Bases
A Database Index to Large Biological Sequences
Proceedings of the 27th International Conference on Very Large Data Bases
On External-Memory MST, SSSP, and Multi-way Planar Graph Separation
SWAT '00 Proceedings of the 7th Scandinavian Workshop on Algorithm Theory
On the Complexities of the Optimal Rounding Problems of Sequences and Matrices
SWAT '00 Proceedings of the 7th Scandinavian Workshop on Algorithm Theory
Incremental Maintenance of the 5-Edge-Connectivity Classes of a Graph
SWAT '00 Proceedings of the 7th Scandinavian Workshop on Algorithm Theory
A Factor-2 Approximation for Labeling Points with Maximum Sliding Labels
SWAT '02 Proceedings of the 8th Scandinavian Workshop on Algorithm Theory
SWAT '02 Proceedings of the 8th Scandinavian Workshop on Algorithm Theory
Comparing a Hidden Markov Model and a Stochastic Context-Free Grammar
WABI '01 Proceedings of the First International Workshop on Algorithms in Bioinformatics
Computing Linking Numbers of a Filtration
WABI '01 Proceedings of the First International Workshop on Algorithms in Bioinformatics
Rectilinear Static and Dynamic Discrete 2-center Problems
WADS '99 Proceedings of the 6th International Workshop on Algorithms and Data Structures
Dynamic Representation of Sparse Graphs
WADS '99 Proceedings of the 6th International Workshop on Algorithms and Data Structures
Improved Approximation Algorithms for NMR Spectral Peak Assignment
WABI '02 Proceedings of the Second International Workshop on Algorithms in Bioinformatics
Fast Optimal Genome Tiling with Applications to Microarray Design and Homology Search
WABI '02 Proceedings of the Second International Workshop on Algorithms in Bioinformatics
Efficient Unbound Docking of Rigid Molecules
WABI '02 Proceedings of the Second International Workshop on Algorithms in Bioinformatics
Lossless Compression of Surfaces Described as Points
Proceedings of the Joint IAPR International Workshops on Advances in Pattern Recognition
Increasing and Detecting Memory Address Congruence
Proceedings of the 2002 International Conference on Parallel Architectures and Compilation Techniques
Statistical Abduction with Tabulation
Computational Logic: Logic Programming and Beyond, Essays in Honour of Robert A. Kowalski, Part II
Computation Slicing: Techniques and Theory
DISC '01 Proceedings of the 15th International Conference on Distributed Computing
AMAST '02 Proceedings of the 9th International Conference on Algebraic Methodology and Software Technology
Efficient Region Query Processing by Optimal Page Ordering
ADBIS-DASFAA '00 Proceedings of the East-European Conference on Advances in Databases and Information Systems Held Jointly with International Conference on Database Systems for Advanced Applications: Current Issues in Databases and Information Systems
On m-Permutation Protection Scheme Against Modification Attack
ACISP '99 Proceedings of the 4th Australasian Conference on Information Security and Privacy
AIM: Approximate Intelligent Matching for Time Series Data
DaWaK 2000 Proceedings of the Second International Conference on Data Warehousing and Knowledge Discovery
Interactive Clustering for Transaction Data
DaWaK '01 Proceedings of the Third International Conference on Data Warehousing and Knowledge Discovery
CT-RSA '02 Proceedings of the The Cryptographer's Track at the RSA Conference on Topics in Cryptology
Executable Protocol Specification in ESL
FMCAD '00 Proceedings of the Third International Conference on Formal Methods in Computer-Aided Design
Multi-objected Optimization in Evolutionary Algorithms Using Satisfiability Classes
Proceedings of the 6th International Conference on Computational Intelligence, Theory and Applications: Fuzzy Days
Ranking Algorithms for Costly Similarity Measures
ICCBR '01 Proceedings of the 4th International Conference on Case-Based Reasoning: Case-Based Reasoning Research and Development
Approximation Algorithms for Partial Covering Problems
ICALP '01 Proceedings of the 28th International Colloquium on Automata, Languages and Programming,
Theoretical Aspects of Evolutionary Algorithms
ICALP '01 Proceedings of the 28th International Colloquium on Automata, Languages and Programming,
All-Pairs Shortest Paths Computation in the BSP Model
ICALP '01 Proceedings of the 28th International Colloquium on Automata, Languages and Programming,
Multiseeded Fuzzy Segmentation on the Face Centered Cubic Grid
ICAPR '01 Proceedings of the Second International Conference on Advances in Pattern Recognition
Separation Sensitive Kinetic Separation Structures for Convex Polygons
JCDCG '00 Revised Papers from the Japanese Conference on Discrete and Computational Geometry
An Efficient NC Algorithm for a Sparse k-Edge-Connectivity Certificate
ISAAC '98 Proceedings of the 9th International Symposium on Algorithms and Computation
Repairing Flaws in a Picture Based on a Geometric Representation of a Digital Image
ISAAC '98 Proceedings of the 9th International Symposium on Algorithms and Computation
Station Layouts in the Presence of Location Constraints
ISAAC '99 Proceedings of the 10th International Symposium on Algorithms and Computation
External Memory Algorithms for Outerplanar Graphs
ISAAC '99 Proceedings of the 10th International Symposium on Algorithms and Computation
Directed Hypergraphs: Problems, Algorithmic Results, and a Novel Decremental Approach
ICTCS '01 Proceedings of the 7th Italian Conference on Theoretical Computer Science
An Optimal Path Selection Algorithm for Static and Mobile Multicast Routing Problems
ICOIN '02 Revised Papers from the International Conference on Information Networking, Wireless Communications Technologies and Network Applications-Part I
EUGÈNE: An Eukaryotic Gene Finder That Combines Several Sources of Evidence
JOBIM '00 Selected papers from the First International Conference on Computational Biology, Biology, Informatics, and Mathematics
A Faster Algorithm for Finding Disjoint Paths in Grids
ISAAC '99 Proceedings of the 10th International Symposium on Algorithms and Computation
Unbalanced and Hierarchical Bipartite Matchings with Applications to Labeled Tree Comparison
ISAAC '00 Proceedings of the 11th International Conference on Algorithms and Computation
Delay Optimizations in Quorum Consensus
ISAAC '01 Proceedings of the 12th International Symposium on Algorithms and Computation
Scheduling Jobs on Parallel Systems Using a Relaxed Backfill Strategy
JSSPP '02 Revised Papers from the 8th International Workshop on Job Scheduling Strategies for Parallel Processing
Chain Reconfiguration. The INs and Outs, Ups and Downs of Moving Polygons and Polygonal Linkages
ISAAC '01 Proceedings of the 12th International Symposium on Algorithms and Computation
Characterizing History Independent Data Structures
ISAAC '02 Proceedings of the 13th International Symposium on Algorithms and Computation
Analyzing Stochastic Fixed-Priority Real-Time Systems
TACAS '99 Proceedings of the 5th International Conference on Tools and Algorithms for Construction and Analysis of Systems
Solving Optimal Location of Traffic Counting Points at Urban Intersections in CLP(FD)
MICAI '02 Proceedings of the Second Mexican International Conference on Artificial Intelligence: Advances in Artificial Intelligence
Randomized Jumplists: A Jump-and-Walk Dictionary Data Structure
STACS '03 Proceedings of the 20th Annual Symposium on Theoretical Aspects of Computer Science
Assume-Guarantee Based Compositional Reasoning for Synchronous Timing Diagrams
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Linear Parametric Model Checking of Timed Automata
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Storage-Efficient Finite Field Basis Conversion
SAC '98 Proceedings of the Selected Areas in Cryptography
TAS - A Generic Window Inference System
TPHOLs '00 Proceedings of the 13th International Conference on Theorem Proving in Higher Order Logics
Formalizing Convex Hull Algorithms
TPHOLs '01 Proceedings of the 14th International Conference on Theorem Proving in Higher Order Logics
A Scientific Multimedia Database System for Polymer Science Experiments
SSDBM '96 Proceedings of the Eighth International Conference on Scientific and Statistical Database Management
SSDBM '96 Proceedings of the Eighth International Conference on Scientific and Statistical Database Management
Proofs Methods for Bisimulation Based Information Flow Security
VMCAI '02 Revised Papers from the Third International Workshop on Verification, Model Checking, and Abstract Interpretation
Optimized Live Heap Bound Analysis
VMCAI 2003 Proceedings of the 4th International Conference on Verification, Model Checking, and Abstract Interpretation
Path Based Pairwise Data Clustering with Application to Texture Segmentation
EMMCVPR '01 Proceedings of the Third International Workshop on Energy Minimization Methods in Computer Vision and Pattern Recognition
Matching Free Trees, Maximal Cliques, and Monotone Game Dynamics
EMMCVPR '01 Proceedings of the Third International Workshop on Energy Minimization Methods in Computer Vision and Pattern Recognition
ECDL '99 Proceedings of the Third European Conference on Research and Advanced Technology for Digital Libraries
Parallel Tree Projection Algorithm for Sequence Mining
Euro-Par '01 Proceedings of the 7th International Euro-Par Conference Manchester on Parallel Processing
Algebraic Methods for Optimization Problems
Revised Lectures from the International Summer School and Workshop on Algebraic and Coalgebraic Methods in the Mathematics of Program Construction
Accessing the Internal Organization of Data Structures in the JDSL Library
ALENEX '99 Selected papers from the International Workshop on Algorithm Engineering and Experimentation
Experimental Evaluation of the Height of a Random Set of Points in a d-Dimensional Cube
ALENEX '01 Revised Papers from the Third International Workshop on Algorithm Engineering and Experimentation
Biased Skip Lists for Highly Skewed Access Patterns
ALENEX '01 Revised Papers from the Third International Workshop on Algorithm Engineering and Experimentation
Maintaining Dynamic Minimum Spanning Trees: An Experimental Study
ALENEX '02 Revised Papers from the 4th International Workshop on Algorithm Engineering and Experiments
Parametric Scheduling for Network Constraints
COCOON '01 Proceedings of the 7th Annual International Conference on Computing and Combinatorics
Minimum Back-Walk-Free Latency Problem
COCOON '02 Proceedings of the 8th Annual International Conference on Computing and Combinatorics
Calculating a Relational Program for Transitive Reductions of Strongly Connected Graphs
ReIMICS '01 Revised Papers from the 6th International Conference and 1st Workshop of COST Action 274 TARSKI on Relational Methods in Computer Science
Primal-dual approaches to the Steiner problem
APPROX '00 Proceedings of the Third International Workshop on Approximation Algorithms for Combinatorial Optimization
On the hardness of approximating N P witnesses
APPROX '00 Proceedings of the Third International Workshop on Approximation Algorithms for Combinatorial Optimization
On Model Checking Durational Kripke Structures
FoSSaCS '02 Proceedings of the 5th International Conference on Foundations of Software Science and Computation Structures
Distributed LTL Model Checking Based on Negative Cycle Detection
FST TCS '01 Proceedings of the 21st Conference on Foundations of Software Technology and Theoretical Computer Science
Bounded Model Search in Linear Temporal Logic and Its Application to Planning
TABLEAUX '98 Proceedings of the International Conference on Automated Reasoning with Analytic Tableaux and Related Methods
Volume Visualization Using Gradient-Based Distance among Voxels
MICCAI '01 Proceedings of the 4th International Conference on Medical Image Computing and Computer-Assisted Intervention
MICCAI '02 Proceedings of the 5th International Conference on Medical Image Computing and Computer-Assisted Intervention-Part II
LCTES '00 Proceedings of the ACM SIGPLAN Workshop on Languages, Compilers, and Tools for Embedded Systems
Some Prospects for Efficient Fixed Parameter Algorithms
SOFSEM '98 Proceedings of the 25th Conference on Current Trends in Theory and Practice of Informatics: Theory and Practice of Informatics
How to Employ Reverse Search in Distributed Single Source Shortest Paths
SOFSEM '01 Proceedings of the 28th Conference on Current Trends in Theory and Practice of Informatics Piestany: Theory and Practice of Informatics
Automatic Data Layout Using 0-1 Integer Programming
PACT '94 Proceedings of the IFIP WG10.3 Working Conference on Parallel Architectures and Compilation Techniques
Using Dynamic Time Warping to Bootstrap HMM-Based Clustering of Time Series
Sequence Learning - Paradigms, Algorithms, and Applications
Fast Approximate Algorithms for Maximum Lifetime Routing in Wireless Ad-hoc Networks
NETWORKING '00 Proceedings of the IFIP-TC6 / European Commission International Conference on Broadband Communications, High Performance Networking, and Performance of Communication Networks
Error-Tolerant Database for Structured Images
VISUAL '99 Proceedings of the Third International Conference on Visual Information and Information Systems
A Technique for Generating Graphical Abstractions of Program Data Structures
VISUAL '99 Proceedings of the Third International Conference on Visual Information and Information Systems
Dynamic Algorithms with Worst-Case Performance for Packet Classification
NETWORKING '00 Proceedings of the IFIP-TC6 / European Commission International Conference on Broadband Communications, High Performance Networking, and Performance of Communication Networks
Finiteness Analysis in Polynomial Time
SAS '02 Proceedings of the 9th International Symposium on Static Analysis
A Few Graph-Based Relational Numerical Abstract Domains
SAS '02 Proceedings of the 9th International Symposium on Static Analysis
Secure History Preservation Through Timeline Entanglement
Proceedings of the 11th USENIX Security Symposium
Efficient Implementation of Lazy Suffix Trees
WAE '99 Proceedings of the 3rd International Workshop on Algorithm Engineering
Implementation an Experimental Evaluation of Graph Connectivity Algorithms Using LEDA
WAE '99 Proceedings of the 3rd International Workshop on Algorithm Engineering
Computing the K Shortest Paths: A New Algorithm and an Experimental Comparison
WAE '99 Proceedings of the 3rd International Workshop on Algorithm Engineering
Finding Minimum Congestion Spanning Trees
WAE '99 Proceedings of the 3rd International Workshop on Algorithm Engineering
An Experimental Evaluation of Hybrid Data Structures for Searching
WAE '99 Proceedings of the 3rd International Workshop on Algorithm Engineering
Clustering Data without Prior Knowledge
WAE '00 Proceedings of the 4th International Workshop on Algorithm Engineering
Efficient Resource Allocation with Noisy Functions
WAE '01 Proceedings of the 5th International Workshop on Algorithm Engineering
WIA '99 Revised Papers from the 4th International Workshop on Automata Implementation
On Parallel Reconfigurable Architectures for Image Processing
ParNum '99 Proceedings of the 4th International ACPC Conference Including Special Tracks on Parallel Numerics and Parallel Computing in Image Processing, Video Processing, and Multimedia: Parallel Computation
A Data Abstraction Alternative to Data Structure/Algorithm Modularization
Selected Papers from the International Seminar on Generic Programming
Function Decomposition in Machine Learning
Machine Learning and Its Applications, Advanced Lectures
The State of Cryptographic Hash Functions
Lectures on Data Security, Modern Cryptology in Theory and Practice, Summer School, Aarhus, Denmark, July 1998
The Importance of Being Discrete: Learning Classes of Actions and Outcomes through Interaction
AI '01 Proceedings of the 14th Biennial Conference of the Canadian Society on Computational Studies of Intelligence: Advances in Artificial Intelligence
Pruning for the Minimum Constraint Family and for the Number of Distinct Values Constraint Family
CP '01 Proceedings of the 7th International Conference on Principles and Practice of Constraint Programming
Computing the Envelope for Stepwise-Constant Resource Allocations
CP '02 Proceedings of the 8th International Conference on Principles and Practice of Constraint Programming
CC '01 Proceedings of the 10th International Conference on Compiler Construction
Speculative Prefetching of Induction Pointers
CC '01 Proceedings of the 10th International Conference on Compiler Construction
StreamIt: A Language for Streaming Applications
CC '02 Proceedings of the 11th International Conference on Compiler Construction
An Efficient Fixed Parameter Tractable Algorithm for 1-Sided Crossing Minimization
GD '02 Revised Papers from the 10th International Symposium on Graph Drawing
Simple and Efficient Bilayer Cross Counting
GD '02 Revised Papers from the 10th International Symposium on Graph Drawing
WG '99 Proceedings of the 25th International Workshop on Graph-Theoretic Concepts in Computer Science
A Scalable and Secure Global Tracking Service for Mobile Agents
MA '01 Proceedings of the 5th International Conference on Mobile Agents
A Class of Well Structured Petri Nets for Flexible Manufacturing Systems
ICATPN '98 Proceedings of the 19th International Conference on Application and Theory of Petri Nets
Practical Methods for Proving Program Termination
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
Using Formal Analysis Techniques in Business Process Redesign
Business Process Management, Models, Techniques, and Empirical Studies
Non-linear Inequalities between Predictive and Kolmogorov Complexities
ALT '01 Proceedings of the 12th International Conference on Algorithmic Learning Theory
Algorithmic Aspects of Speech Recognition: A Synopsis
COM '00 Proceedings of the 11th Annual Symposium on Combinatorial Pattern Matching
Linear Bidirectional On-Line Construction of Affix Trees
COM '00 Proceedings of the 11th Annual Symposium on Combinatorial Pattern Matching
Explaining and Controlling Ambiguity in Dynamic Programming
COM '00 Proceedings of the 11th Annual Symposium on Combinatorial Pattern Matching
A Faster and Unifying Algorithm for Comparing Trees
COM '00 Proceedings of the 11th Annual Symposium on Combinatorial Pattern Matching
Fuzzy Hamming Distance: A New Dissimilarity Measure
CPM '01 Proceedings of the 12th Annual Symposium on Combinatorial Pattern Matching
CSL '99 Proceedings of the 13th International Workshop and 8th Annual Conference of the EACSL on Computer Science Logic
DS '00 Proceedings of the Third International Conference on Discovery Science
Multi-objective Optimisation Based on Relation Favour
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
Efficient Self-Reconfigurable Implementations Using On-chip Memory
FPL '00 Proceedings of the The Roadmap to Reconfigurable Computing, 10th International Workshop on Field-Programmable Logic and Applications
Matching Medical Students to Pairs of Hospitals: A New Variation on a Well-Known Theme
ESA '98 Proceedings of the 6th Annual European Symposium on Algorithms
Efficient Approximation Algorithms for the Achromatic Number
ESA '99 Proceedings of the 7th Annual European Symposium on Algorithms
Dilworth's Theorem and Its Application for Path Systems of a Cycle - Implementation and Analysis
ESA '99 Proceedings of the 7th Annual European Symposium on Algorithms
On Computing Transitive-Closure Equivalence Sets Using a Hybrid GA-DP Approach
FPL '02 Proceedings of the Reconfigurable Computing Is Going Mainstream, 12th International Conference on Field-Programmable Logic and Applications
Minimizing a Convex Cost Closure Set
ESA '00 Proceedings of the 8th Annual European Symposium on Algorithms
Exact and Approximate Distances in Graphs - A Survey
ESA '01 Proceedings of the 9th Annual European Symposium on Algorithms
Determining Similarity of Conformational Polymorphs
ESA '02 Proceedings of the 10th Annual European Symposium on Algorithms
A Simple Linear Time Algorithm for Finding Even Triangulations of 2-Connected Bipartite Plane Graphs
ESA '02 Proceedings of the 10th Annual European Symposium on Algorithms
FSE '99 Proceedings of the 6th International Workshop on Fast Software Encryption
Graph Automorphisms with Maximal Projection Distances
FCT '99 Proceedings of the 12th International Symposium on Fundamentals of Computation Theory
FCT '01 Proceedings of the 13th International Symposium on Fundamentals of Computation Theory
Handling Graphs According to a Coarse Grained Approach: Experiments with PVM and MPI
Proceedings of the 7th European PVM/MPI Users' Group Meeting on Recent Advances in Parallel Virtual Machine and Message Passing Interface
A Scable Video-on-Demand Server for a Dynamic Heterogeneous Environment
MIS '98 Proceedings of the 4th International Workshop on Advances in Multimedia Information Systems
Preprocessing for Unification Parsing of Spoken Language
NLP '00 Proceedings of the Second International Conference on Natural Language Processing
Combining Different Translation Sources
NLP '00 Proceedings of the Second International Conference on Natural Language Processing
Sequencing of Contract Types for Anytime Task Reallocation
AMET '98 Selected Papers from the First International Workshop on Agent Mediated Electronic Trading on Agent Mediated Electronic Commerce
Arithmetic Design for Permutation Groups
CHES '99 Proceedings of the First International Workshop on Cryptographic Hardware and Embedded Systems
CIAC '00 Proceedings of the 4th Italian Conference on Algorithms and Complexity
An Efficient Algorithm for the Approximate Median Selection Problem
CIAC '00 Proceedings of the 4th Italian Conference on Algorithms and Complexity
Evaluating Search Heuristics and Optimization Techniques in Propositional Satisfiability
IJCAR '01 Proceedings of the First International Joint Conference on Automated Reasoning
Curve Reconstruction in Arbitrary Dimension and the Traveling Salesman Problem
DCGI '99 Proceedings of the 8th International Conference on Discrete Geometry for Computer Imagery
Efficient Algorithms to Implement the Confinement Tree
DGCI '00 Proceedings of the 9th International Conference on Discrete Geometry for Computer Imagery
Learning Additive Models Online with Fast Evaluating Kernels
COLT '01/EuroCOLT '01 Proceedings of the 14th Annual Conference on Computational Learning Theory and and 5th European Conference on Computational Learning Theory
Predictive Complexity and Information
COLT '02 Proceedings of the 15th Annual Conference on Computational Learning Theory
Scheduling Task Graphs on Arbitrary Processor Architectures Considering Contention
HPCN Europe 2001 Proceedings of the 9th International Conference on High-Performance Computing and Networking
From Searching Text to Querying XML Streams
SPIRE 2002 Proceedings of the 9th International Symposium on String Processing and Information Retrieval
A Comprehensive Approach to Array Bounds Check Elimination for Java
CC '02 Proceedings of the 11th International Conference on Compiler Construction
Graph Drawing by High-Dimensional Embedding
GD '02 Revised Papers from the 10th International Symposium on Graph Drawing
ESA '99 Proceedings of the 7th Annual European Symposium on Algorithms
A Dual Interpretation of "Standard Constraints" in Parametric Scheduling
FTRTFT '00 Proceedings of the 6th International Symposium on Formal Techniques in Real-Time and Fault-Tolerant Systems
Selected Papers from the International Seminar on Generic Programming
Structure and Constraints in Interactive Exploratory Algorithm Learning
Revised Lectures on Software Visualization, International Seminar
An Arc-Consistency Algorithm for the Minimum Weight All Different Constraint
CP '02 Proceedings of the 8th International Conference on Principles and Practice of Constraint Programming
NLC2-Decomposition in Polynomial Time
WG '99 Proceedings of the 25th International Workshop on Graph-Theoretic Concepts in Computer Science
Cycles in Generalized Networks
WG '02 Revised Papers from the 28th International Workshop on Graph-Theoretic Concepts in Computer Science
DS '01 Proceedings of the 4th International Conference on Discovery Science
Probabilistic Linear-Time Model Checking: An Overview of the Automata-Theoretic Approach
ARTS '99 Proceedings of the 5th International AMAST Workshop on Formal Methods for Real-Time and Probabilistic Systems
Generating Bids for Group-Related Actions in the Context of Prior Commitments
ATAL '01 Revised Papers from the 8th International Workshop on Intelligent Agents VIII
Compacting sequences with invariant transition frequencies
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Theoretical Computer Science
On embedding an outer-planar graph in a point set
Computational Geometry: Theory and Applications
Information and Computation
Inverted file compression through document identifier reassignment
Information Processing and Management: an International Journal
A mass formula for unimodular lattices with no roots
Mathematics of Computation
Theoretical Computer Science - Algorithms,automata, complexity and games
Polynomial time algorithms for three-label point labeling
Theoretical Computer Science - Computing and combinatorics
Mixed hypergraphs with bounded degree: edge-coloring of mixed multigraphs
Theoretical Computer Science - Mathematical foundations of computer science
Algebraic methods for optimization problems
Algebraic and coalgebraic methods in the mathematics of program construction
Using sparsification for parametric minimum spanning tree problems
Nordic Journal of Computing
Service-constrained network design problems
Nordic Journal of Computing
Algorithms for computing the static single assignment form
Journal of the ACM (JACM)
Information Processing Letters
Introduction of accounting capabilities in future service architectures
Journal of Systems and Software
Time-series similarity problems and well-separated geometric sets
Nordic Journal of Computing
On the Parallel Execution Time of Tiled Loops
IEEE Transactions on Parallel and Distributed Systems
Segmentation of Multiple Salient Closed Contours from Real Images
IEEE Transactions on Pattern Analysis and Machine Intelligence
Length-bounded disjoint paths in planar graphs
Discrete Applied Mathematics - Sixth Twente Workshop on Graphs and Combinatorial Optimization
Centralized broadcast in multihop radio networks
Journal of Algorithms
Engineering of distributed control systems
The genome generator: simulating the development of junk DNA
Journal of Computing Sciences in Colleges
Proactive caching of DNS records: addressing a performance bottleneck
Computer Networks: The International Journal of Computer and Telecommunications Networking
Journal of Computing Sciences in Colleges
Effective binary perspectives in algorithmic problem solving
Journal on Educational Resources in Computing (JERIC)
Calculating stack distances efficiently
Proceedings of the 2002 workshop on Memory system performance
On mask layout partitioning for electron projection lithography
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
Hop-by-hop routing algorithms for premium traffic
ACM SIGCOMM Computer Communication Review
Proceedings of the tenth international symposium on Hardware/software codesign
ADMIT: anomaly-based data mining for intrusions
Proceedings of the eighth ACM SIGKDD international conference on Knowledge discovery and data mining
Predictive caching and prefetching of query results in search engines
WWW '03 Proceedings of the 12th international conference on World Wide Web
Translating a regular grid over a point set
Computational Geometry: Theory and Applications - Special issue: The European workshop on computational geometry -- CG01
Fuzzy-connected 3D image segmentation at interactive speeds
Graphical Models
Surface construction by fitting unorganized curves
Graphical Models
Proceedings of the 40th annual Design Automation Conference
An O(nlogn) time algorithm for optimal buffer insertion
Proceedings of the 40th annual Design Automation Conference
Test cost reduction for SOCs using virtual TAMs and lagrange multipliers
Proceedings of the 40th annual Design Automation Conference
Dynamic global buffer planning optimization based on detail block locating and congestion analysis
Proceedings of the 40th annual Design Automation Conference
Optimal and efficient speculation-based partial redundancy elimination
Proceedings of the international symposium on Code generation and optimization: feedback-directed and runtime optimization
Computing in Science and Engineering
Efficient Algorithms for Large-Scale Temporal Aggregation
IEEE Transactions on Knowledge and Data Engineering
Extended isomap for pattern classification
Eighteenth national conference on Artificial intelligence
Complexity of manipulating elections with few candidates
Eighteenth national conference on Artificial intelligence
Algorithms for a temporal decoupling problem in multi-agent planning
Eighteenth national conference on Artificial intelligence
Speeding up the calculation of heuristics for heuristic search-based planning
Eighteenth national conference on Artificial intelligence
A method for metric temporal reasoning
Eighteenth national conference on Artificial intelligence
A graphical criterion for the identification of causal effects in linear models
Eighteenth national conference on Artificial intelligence
Optimizing Ackermann's function by incrementalization
Proceedings of the 2003 ACM SIGPLAN workshop on Partial evaluation and semantics-based program manipulation
Information and Computation - Special issue: LICS'97
A linear time algorithm for the weighted lexicographic rectilinear 1-center problem in the plane
Information Processing Letters
Euclidean bounded-degree spanning tree ratios
Proceedings of the nineteenth annual symposium on Computational geometry
Loops in reeb graphs of 2-manifolds
Proceedings of the nineteenth annual symposium on Computational geometry
Integrity constraints in spatial databases
Database integrity
On Learning Gene Regulatory Networks Under the Boolean Network Model
Machine Learning
Extended format definition and quality-driven format negotiation in multimedia systems
Proceedings of the sixth Eurographics workshop on Multimedia 2001
Graph-based generation of referring expressions
Computational Linguistics
Weighted deductive parsing and Knuth's algorithm
Computational Linguistics
External memory data structures
Handbook of massive data sets
Handbook of massive data sets
Future Generation Computer Systems - Selected papers from CCGRID 2002
New polynomial-time instances to various knapsack-type problems
Fundamenta Informaticae
Static array storage optimization in MATLAB
PLDI '03 Proceedings of the ACM SIGPLAN 2003 conference on Programming language design and implementation
An asymptotic theory for recurrence relations based on minimization and maximization
Theoretical Computer Science
Information Processing Letters
Polynomial-time approximation schemes for packing and piercing fat objects
Journal of Algorithms
An algebra for creating and querying multimedia presentations
Multimedia Systems - Special issue: Multimedia authoring and presentation techniques
A parallel implementation of an asynchronous team to the point-to-point connection problem
Parallel Computing - Special issue: Parallel computing in numerical optimization
Approximation algorithms for NMR spectral peak assignment
Theoretical Computer Science
An efficient k nearest neighbors searching algorithm for a query line
Theoretical Computer Science
Automatic fence insertion for shared memory multiprocessing
ICS '03 Proceedings of the 17th annual international conference on Supercomputing
Software transformations for sequential test generation
ATS '95 Proceedings of the 4th Asian Test Symposium
Optimized software synthesis for synchronous dataflow
ASAP '97 Proceedings of the IEEE International Conference on Application-Specific Systems, Architectures and Processors
The Ultrascalar Processor-An Asymptotically Scalable Superscalar Microarchitecture
ARVLSI '99 Proceedings of the 20th Anniversary Conference on Advanced Research in VLSI
Design reuse through high-level library mapping
EDTC '95 Proceedings of the 1995 European conference on Design and Test
An Algorithm for Zero-Skew Clock Tree Routing with Buffer Insertion
EDTC '96 Proceedings of the 1996 European conference on Design and Test
Optimizing CMOS Circuits for Low Power Using Transistor Reordering
EDTC '96 Proceedings of the 1996 European conference on Design and Test
EDTC '97 Proceedings of the 1997 European conference on Design and Test
Efficient and Flexible Matching of Recursive Types
LICS '00 Proceedings of the 15th Annual IEEE Symposium on Logic in Computer Science
Minimizing flow time nonclairvoyantly
Journal of the ACM (JACM)
Accelerating Approximate Subsequence Search on Large Protein Sequence Databases
CSB '02 Proceedings of the IEEE Computer Society Conference on Bioinformatics
Design of an interactive spell checker: optimizing the list of offered words
Decision Support Systems
Segmentation by Grouping Junctions
CVPR '98 Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition
Image Segmentation Using Local Variation
CVPR '98 Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition
Mosaics of Scenes with Moving Objects
CVPR '98 Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition
Decision procedures for inductive Boolean functions based on alternating automata
Theoretical Computer Science
Optimizing FPGA-Based Vector Product Designs
FCCM '99 Proceedings of the Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines
FOCS '99 Proceedings of the 40th Annual Symposium on Foundations of Computer Science
Dynamic Planar Convex Hull Operations in Near-Logarithmic Amortized Time
FOCS '99 Proceedings of the 40th Annual Symposium on Foundations of Computer Science
Processor autonomy and its effect on parallel program execution
FRONTIERS '96 Proceedings of the 6th Symposium on the Frontiers of Massively Parallel Computation
HCW '98 Proceedings of the Seventh Heterogeneous Computing Workshop
HCW '98 Proceedings of the Seventh Heterogeneous Computing Workshop
An On-Line Performance Visualization Technology
HCW '99 Proceedings of the Eighth Heterogeneous Computing Workshop
Task Scheduling Algorithms for Heterogeneous Processors
HCW '99 Proceedings of the Eighth Heterogeneous Computing Workshop
A reliable test for inclusion of a point in a polygon
ACM SIGCSE Bulletin
Energy-Optimal and Energy-Balanced Sorting in a Single-Hop Wireless Sensor Network
PERCOM '03 Proceedings of the First IEEE International Conference on Pervasive Computing and Communications
Minimizing timestamp size for completely asynchronous optimistic recovery with minimal rollback
SRDS '96 Proceedings of the 15th Symposium on Reliable Distributed Systems
A Simulation Algorithm for Source Terminal Communication Network Reliability
SS '96 Proceedings of the 29th Annual Simulation Symposium (SS '96)
SSDBM '00 Proceedings of the 12th International Conference on Scientific and Statistical Database Management
Optimum retiming of large sequential circuits
VLSID '95 Proceedings of the 8th International Conference on VLSI Design
A Hierarchical Register Optimization Algorithm for Behavioral Synthesis
VLSID '96 Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
Sequential Circuits with combinational Test Generation Complexity
VLSID '96 Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
Input Space Adaptive Embedded Software Synthesis
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
Genetic Algorithm based Approach for Low Power Combinational Circuit Testing
VLSID '03 Proceedings of the 16th International Conference on VLSI Design
A Fault-Independent Transitive Closure Algorithm for Redundancy Identification
VLSID '03 Proceedings of the 16th International Conference on VLSI Design
Fast Algorithms for Static Compaction of Sequential Circuit Test Vectors
VTS '97 Proceedings of the 15th IEEE VLSI Test Symposium
8.2 On Synchronizing Sequences and Test Sequence Partitioning
VTS '98 Proceedings of the 16th IEEE VLSI Test Symposium
Leap Forward Virtual Clock: A New Fair Queuing Scheme with Guaranteed Delay and Throughput Fairness
INFOCOM '97 Proceedings of the INFOCOM '97. Sixteenth Annual Joint Conference of the IEEE Computer and Communications Societies. Driving the Information Revolution
COMBINATIONAL LOGIC SYNTHESIS FOR DIVERSITY IN DUPLEX SYSTEMS
ITC '00 Proceedings of the 2000 IEEE International Test Conference
Secure routing for structured peer-to-peer overlay networks
ACM SIGOPS Operating Systems Review - OSDI '02: Proceedings of the 5th symposium on Operating systems design and implementation
The power of small coalitions in graphs
Discrete Applied Mathematics
Scheduling unitary task systems with zero-one communication delays for quasi-interval orders
Discrete Applied Mathematics
DS-LFSR: A New BIST TPG for Low Heat Dissipation
ITC '97 Proceedings of the 1997 IEEE International Test Conference
A distributed spectral-screening PCT algorithm
Journal of Parallel and Distributed Computing
Architecture independent parallel selection with applications to parallel priority queues
Theoretical Computer Science
Theoretical Computer Science
Journal of Computer and System Sciences - Special issue on PODS 2000
ICMI '02 Proceedings of the 4th IEEE International Conference on Multimodal Interfaces
Self-Stabilizing Smoothing and Counting
ICDCS '03 Proceedings of the 23rd International Conference on Distributed Computing Systems
Compiler Scheduling of Mobile Agents for Minimizing Overheads
ICDCS '03 Proceedings of the 23rd International Conference on Distributed Computing Systems
Method for designing and placing check sets based on control flow analysis of programs
ISSRE '96 Proceedings of the The Seventh International Symposium on Software Reliability Engineering
The Complexity of TRMCS-like Spiral Specification
IWSSD '00 Proceedings of the 10th International Workshop on Software Specification and Design
Visualizing Informationon a Sphere
INFOVIS '97 Proceedings of the 1997 IEEE Symposium on Information Visualization (InfoVis '97)
A Comparison of Functional and Structural Partitioning
ISSS '96 Proceedings of the 9th international symposium on System synthesis
Efficient Scheduling of DSP Code on Processors with Distributed Register Files
Proceedings of the 12th international symposium on System synthesis
A Graph Theoretic Approach for Design and Synthesis of Multiplierless FIR Filters
Proceedings of the 12th international symposium on System synthesis
Path-Based Edge Activation for Dynamic Run-Time Scheduling
Proceedings of the 12th international symposium on System synthesis
On a Minimum Linear Classification Problem
Journal of Global Optimization
Unsupervised Learning of Human Motion
IEEE Transactions on Pattern Analysis and Machine Intelligence
Natural methods for robot task learning: instructive demonstrations, generalization and practice
AAMAS '03 Proceedings of the second international joint conference on Autonomous agents and multiagent systems
Distributing the control of a temporal network among multiple agents
AAMAS '03 Proceedings of the second international joint conference on Autonomous agents and multiagent systems
Time consistency of MSC-2000 specifications
Computer Networks: The International Journal of Computer and Telecommunications Networking - ITU-T system design languages (SDL)
Orienting rewrite rules with the Knuth--Bendix order
Information and Computation - RTA 2001
Persistent dropping: an efficient control of traffic aggregates
Proceedings of the 2003 conference on Applications, technologies, architectures, and protocols for computer communications
Teaching algorithms and data structures: 10 personal observations
Computer Science in Perspective
Route planning and map inference with global positioning traces
Computer Science in Perspective
On the relational database type numerical programming
ICECT'03 Proceedings of the third international conference on Engineering computational technology
Branch prediction on demand: an energy-efficient solution
Proceedings of the 2003 international symposium on Low power electronics and design
Software transactional memory for dynamic-sized data structures
Proceedings of the twenty-second annual symposium on Principles of distributed computing
Processing set expressions over continuous update streams
Proceedings of the 2003 ACM SIGMOD international conference on Management of data
Performance bounds for planning in unknown terrain
Artificial Intelligence - special issue on planning with uncertainty and incomplete information
Analysis of a randomized rendezvous algorithm
Information and Computation
Efficient algorithms for testing the twins property
Journal of Automata, Languages and Combinatorics - Special issue: Selected papers of the workshop weighted automata: Theory and applications (Dresden University of Technology (Germany), March 4-8, 2002)
Automatic construction of English/Chinese parallel corpora
Journal of the American Society for Information Science and Technology
Application of network calculus to general topologies using turn-prohibition
IEEE/ACM Transactions on Networking (TON)
Sequencing Multiple Descriptions
DCC '02 Proceedings of the Data Compression Conference
Bit Allocation in Sub-linear Time and the Multiple-Choice Knapsack Problem
DCC '02 Proceedings of the Data Compression Conference
DCC '01 Proceedings of the Data Compression Conference
On-Line Error Monitoring for Several Data Structures
FTCS '95 Proceedings of the Twenty-Fifth International Symposium on Fault-Tolerant Computing
Node Covering, Error Correcting Codes and Multiprocessors with Very High Average Fault Tolerance
FTCS '95 Proceedings of the Twenty-Fifth International Symposium on Fault-Tolerant Computing
A linear approximation algorithm for bin packing with absolute approximation factor 3/2
Science of Computer Programming
Schemes for optimal frequency-differential encoding of sinusoidal model parameters
Signal Processing - Special section: Hans Wilhelm Schüßler celebrates his 75th birthday
ICDCS '01 Proceedings of the The 21st International Conference on Distributed Computing Systems
Multicasting and Broadcasting in Large WDM Networks
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
Vector Prefix and Reduction Computation on Coarse-Grained, Distributed-Memory Parallel Machines
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
Fixture foolproofing for polygonal parts
ISATP '95 Proceedings of the 1995 IEEE International Symposium on Assembly and Task Planning
Clusters, outliers, and regression: fixed point clusters
Journal of Multivariate Analysis
On the simulation of quantum Turing machines
Theoretical Computer Science
Energy consumption of traffic padding schemes in wireless ad hoc networks
Real-time system security
Efficient algorithms for maximum lifetime data gathering and aggregation in wireless sensor networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
ACM Transactions on Database Systems (TODS)
Throughput capacity of random ad hoc networks with infrastructure support
Proceedings of the 9th annual international conference on Mobile computing and networking
Fault Diagnosis in Scan-Based BIST Using Both Time and Space Information
ITC '99 Proceedings of the 1999 IEEE International Test Conference
Transitive Mesh Space of a Progressive Mesh
IEEE Transactions on Visualization and Computer Graphics
Mobile User Recovery in the Context of Internet Transactions
IEEE Transactions on Mobile Computing
Advances in Computational Stereo
IEEE Transactions on Pattern Analysis and Machine Intelligence
Online Ensemble Learning: An Empirical Study
Machine Learning
Image indexing and similarity retrieval based on spatial relationship model
Information Sciences—Informatics and Computer Science: An International Journal - Special issue: Introduction to multimedia and mobile agents
Precomputation schemes for QoS routing
IEEE/ACM Transactions on Networking (TON)
IEEE/ACM Transactions on Networking (TON)
Online multicast routing with bandwidth guarantees: a new approach using multicast network flow
IEEE/ACM Transactions on Networking (TON)
Preserving confidentiality of high-dimensional tabulated data: Statistical and computational issues
Statistics and Computing
Broadcast data allocation for efficient access of multiple data items in mobile environments
Mobile Networks and Applications
Neural Networks - 2003 Special issue: Advances in neural networks research IJCNN'03
IEEE Transactions on Knowledge and Data Engineering
Learning with mixtures of trees
The Journal of Machine Learning Research
Designing for scale and differentiation
FDNA '03 Proceedings of the ACM SIGCOMM workshop on Future directions in network architecture
Kernel independent component analysis
The Journal of Machine Learning Research
Caching and Scheduling for Broadcast Disk Systems
Journal of Experimental Algorithmics (JEA)
Adapting Radix Sort to the Memory Hierarchy
Journal of Experimental Algorithmics (JEA)
Learning programs from traces using version space algebra
Proceedings of the 2nd international conference on Knowledge capture
ACM Transactions on Programming Languages and Systems (TOPLAS)
Fast recognition algorithms for classes of partial cubes
Discrete Applied Mathematics - Special issue: The second international colloquium, "journées de l'informatique messine"
An efficient fixed-parameter algorithm for 3-hitting set
Journal of Discrete Algorithms
Equivalent literal propagation in the DLL procedure
Discrete Applied Mathematics - The renesse issue on satisfiability
Lorentz gas cellular automata on graphs
Theoretical Computer Science
Balancing confidentiality and efficiency in untrusted relational DBMSs
Proceedings of the 10th ACM conference on Computer and communications security
Constructing internet coordinate system based on delay measurement
Proceedings of the 3rd ACM SIGCOMM conference on Internet measurement
Facial expression recognition from video sequences: temporal and static modeling
Computer Vision and Image Understanding - Special issue on Face recognition
Management of wireless home networking technologies in the context of composite radio environments
ACM SIGMOBILE Mobile Computing and Communications Review
Incremental computation and maintenance of temporal aggregates
The VLDB Journal — The International Journal on Very Large Data Bases
A hierarchical approach for energy efficient application design using heterogeneous embedded systems
Proceedings of the 2003 international conference on Compilers, architecture and synthesis for embedded systems
Network Caching Strategies for a Shared Data Distribution for a Predefined Service Demand Sequence
IEEE Transactions on Knowledge and Data Engineering
Beyond Independence: Probabilistic Models for Query Approximation on Binary Transaction Data
IEEE Transactions on Knowledge and Data Engineering
The Image Foresting Transform: Theory, Algorithms, and Applications
IEEE Transactions on Pattern Analysis and Machine Intelligence
Image retrieval with embedded region relationships
Proceedings of the 2003 ACM symposium on Applied computing
Triggering Creativity in Science and Engineering: Reflection as a Catalyst
Journal of Intelligent and Robotic Systems
Reducing the Number of Sequential Diagnosis Iterations in Hypercubes
IEEE Transactions on Computers
Fast Path-Based Neural Branch Prediction
Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture
Disunification in ACI1 Theories
Constraints
Light-weight xPath processing of XML stream with deterministic automata
CIKM '03 Proceedings of the twelfth international conference on Information and knowledge management
VideoQA: question answering on news video
MULTIMEDIA '03 Proceedings of the eleventh ACM international conference on Multimedia
An effective implementation of the k-D tree
Graphics programming methods
Computing the update of the repeated median regression line in linear time
Information Processing Letters
A quadtree approach to domain decomposition for spatial interpolation in grid computing environments
Parallel Computing - Special issue: High performance computing with geographical data
A simple and scalable algorithm for the IP address lookup problem
Fundamenta Informaticae - Special issue on computing patterns in strings
Finding an optimal path without growing the tree
Journal of Algorithms
Fully dynamic shortest paths in digraphs with arbitrary arc weights
Journal of Algorithms
Journal of Systems Architecture: the EUROMICRO Journal - Special issue: Reconfigurable systems
On variable blocking factor in a parallel dynamic block: Jacobi SVD algorithm
Parallel Computing - Parallel matrix algorithms and applications (PMAA '02)
AMRST: adaptive multicast routing protocol for satellite-terrestrial networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Beyond independent components: trees and clusters
The Journal of Machine Learning Research
Generalized hashing and parent-identifying codes
Journal of Combinatorial Theory Series A
Approximation Algorithms for the Class Cover Problem
Annals of Mathematics and Artificial Intelligence
Simulation in city planning and engineering
Applied system simulation
Fast memory bank assignment for fixed-point digital signal processors
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Scheduling Space–Ground Communications for the Air Force Satellite Control Network
Journal of Scheduling
Temporal reasoning in process planning
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Optimizing result prefetching in web search engines with segmented indices
ACM Transactions on Internet Technology (TOIT)
Efficient solution techniques for disjunctive temporal reasoning problems
Artificial Intelligence
Complexity of probabilistic reasoning in directed-path singly-connected Bayes networks
Artificial Intelligence
An efficient QoS routing algorithm for quorumcast communication
Computer Networks: The International Journal of Computer and Telecommunications Networking
Facility location and the geometric minimum-diameter spanning tree
Computational Geometry: Theory and Applications - Special issue on computational geometry - EWCG'02
IPRAIL: intellectual property reuse-based analog IC layout automation
Integration, the VLSI Journal - Special issue on analog and mixed-signal IC design and design methodologies
Service provider oriented management systems over open cellular network infrastructures
Journal of Systems and Software
The classification of greedy algorithms
Science of Computer Programming
Competitive analysis of incentive compatible on-line auctions
Theoretical Computer Science
A parallel algorithm for the extraction of structured motifs
Proceedings of the 2004 ACM symposium on Applied computing
Replica placement in adaptive content distribution networks
Proceedings of the 2004 ACM symposium on Applied computing
Time and area efficient pattern matching on FPGAs
FPGA '04 Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field programmable gate arrays
Journal of Functional Programming
Inductive benchmarking for purely functional data structures
Journal of Functional Programming
Journal of Functional Programming
Purely Functional Data Structures by Chris Okasaki, Cambridge University Press, 1998, 220pp.
Journal of Functional Programming
Red-black trees in a functional setting
Journal of Functional Programming
A pointless derivation of radix sort
Journal of Functional Programming
Journal of Functional Programming
IEEE Transactions on Pattern Analysis and Machine Intelligence
Error-Tolerant Sign Retrieval Using Visual Features and Maximum A Posteriori Estimation
IEEE Transactions on Pattern Analysis and Machine Intelligence
Wire Retiming for System-on-Chip by Fixpoint Computation
Proceedings of the conference on Design, automation and test in Europe - Volume 2
Finding optimal paths in MREP routing
Information Processing Letters
Hardness results and approximation algorithms of k-tuple domination in graphs
Information Processing Letters
A practical access to the theory of parallel algorithms
Proceedings of the 35th SIGCSE technical symposium on Computer science education
Treatment of epsilon moves in subset construction
Computational Linguistics - Special issue on finite-state methods in NLP
A compression-based algorithm for Chinese word segmentation
Computational Linguistics
Improved genetic algorithm for the permutation flowshop scheduling problem
Computers and Operations Research
A New Approach to Fault-Tolerant Wormhole Routing for Mesh-Connected Parallel Computers
IEEE Transactions on Computers
Computing with features as formulae
Computational Linguistics
Tree-adjoining grammar parsing and boolean matrix multiplication
Computational Linguistics
Finite-state transducers in language and speech processing
Computational Linguistics
Computational Linguistics
Selecting forwarding neighbors in wireless ad hoc networks
Mobile Networks and Applications - Discrete algorithms and methods for mobile computing and communications
An on-line performance visualization technology
Software—Practice & Experience
Routing with topology aggregation in delay-bandwidth sensitive networks
IEEE/ACM Transactions on Networking (TON)
Optimal sequential paging in cellular wireless networks
Wireless Networks
Computers and Operations Research
Natural Language Engineering
Robust grammatical analysis for spoken dialogue systems
Natural Language Engineering
De novo repeat classification and fragment assembly
RECOMB '04 Proceedings of the eighth annual international conference on Resaerch in computational molecular biology
On some applications of finite-state automata theory to natural language processing
Natural Language Engineering
Information Processing Letters
A Method Enabling Feasible Conformance Test Sequence Generation for EFSM Models
IEEE Transactions on Computers
Hierarchical Network Architecture for Layered Video Streaming
ICDCSW '04 Proceedings of the 24th International Conference on Distributed Computing Systems Workshops - W7: EC (ICDCSW'04) - Volume 7
Recursive XML Schemas, Recursive XML Queries, and Relational Storage: XML-to-SQL Query Translation
ICDE '04 Proceedings of the 20th International Conference on Data Engineering
Decoding algorithm in statistical machine translation
ACL '98 Proceedings of the 35th Annual Meeting of the Association for Computational Linguistics and Eighth Conference of the European Chapter of the Association for Computational Linguistics
Multi-source spanning trees: algorithms for minimizing source eccentricities
Discrete Applied Mathematics - Special issue on international workshop on algorithms, combinatorics, and optimization in interconnection networks (IWACOIN '99)
Precise interprocedural dependence analysis of parallel programs
Theoretical Computer Science
Probabilistic quickest path algorithm
Theoretical Computer Science
Optimal multi-paragraph text segmentation by dynamic programming
ACL '98 Proceedings of the 36th Annual Meeting of the Association for Computational Linguistics and 17th International Conference on Computational Linguistics - Volume 2
An ECO algorithm for eliminating crosstalk violations
Proceedings of the 2004 international symposium on Physical design
Efficient Graph-Based Image Segmentation
International Journal of Computer Vision
Efficient transformation-based parsing
ACL '96 Proceedings of the 34th annual meeting on Association for Computational Linguistics
Discovery of temporal patterns from process instances
Computers in Industry - Special issue: Process/workflow mining
Approximating Minimum Max-Stretch spanning Trees on unweighted graphs
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
The hyperring: a low-congestion deterministic data structure for distributed environments
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
Streams, structures, spaces, scenarios, societies (5s): A formal model for digital libraries
ACM Transactions on Information Systems (TOIS)
Effect of overhearing transmissions on energy efficiency in dense sensor networks
Proceedings of the 3rd international symposium on Information processing in sensor networks
Extracting pure network submatrices in linear programs using signed graphs
Discrete Applied Mathematics
Information Processing Letters
From searching text to querying XML streams
Journal of Discrete Algorithms - SPIRE 2002
An approach to certificate path discovery in mobile Ad Hoc networks
Proceedings of the 1st ACM workshop on Security of ad hoc and sensor networks
Multiway range trees: scalable IP lookup with fast updates
Computer Networks: The International Journal of Computer and Telecommunications Networking
Testing Layered Interconnection Networks
IEEE Transactions on Computers
Mapping and Load-Balancing Iterative Computations
IEEE Transactions on Parallel and Distributed Systems
Hazard Pointers: Safe Memory Reclamation for Lock-Free Objects
IEEE Transactions on Parallel and Distributed Systems
Mechanisms for a spatially distributed market
EC '04 Proceedings of the 5th ACM conference on Electronic commerce
Minimal period retiming under process variations
Proceedings of the 14th ACM Great Lakes symposium on VLSI
Distributed Path-Based Inference in Semantic Networks
The Journal of Supercomputing
A retrospective on: "an evaluation of staged run-time optimizations in DyC"
ACM SIGPLAN Notices - Best of PLDI 1979-1999
Does topology control reduce interference?
Proceedings of the 5th ACM international symposium on Mobile ad hoc networking and computing
Multicasting techniques in mobile ad hoc networks
The handbook of ad hoc wireless networks
Scheduling of broadcasts in multihop wireless networks
The handbook of ad hoc wireless networks
Removing excess topology from isosurfaces
ACM Transactions on Graphics (TOG)
PageCluster: Mining conceptual link hierarchies from Web log files for adaptive Web site navigation
ACM Transactions on Internet Technology (TOIT)
Directional constraint evaluation in Optimality Theory
COLING '00 Proceedings of the 18th conference on Computational linguistics - Volume 1
Alignment of shared forests for bilingual corpora
COLING '96 Proceedings of the 16th conference on Computational linguistics - Volume 1
A formalism for universal segmentation of text
COLING '00 Proceedings of the 18th conference on Computational linguistics - Volume 2
Learning to select a good translation
COLING '00 Proceedings of the 18th conference on Computational linguistics - Volume 2
Graph properties checkable in linear time in the number of vertices
Journal of Computer and System Sciences
On test generation for transition faults with minimized peak power dissipation
Proceedings of the 41st annual Design Automation Conference
A method for correcting the functionality of a wire-pipelined circuit
Proceedings of the 41st annual Design Automation Conference
Precise and efficient static array bound checking for large embedded C programs
Proceedings of the ACM SIGPLAN 2004 conference on Programming language design and implementation
Balancing register allocation across threads for a multithreaded network processor
Proceedings of the ACM SIGPLAN 2004 conference on Programming language design and implementation
Information Processing Letters
A simple algorithm for the constrained sequence problems
Information Processing Letters
Shared generation of pseudo-random functions
Journal of Complexity - Special issue on coding and cryptography
Rapid Prototyping of Large Multi-Agent Systems Through Logic Programming
Annals of Mathematics and Artificial Intelligence
A Support-Ordered Trie for Fast Frequent Itemset Discovery
IEEE Transactions on Knowledge and Data Engineering
Segmenting by Seeking the Symmetry Axis
ICPR '98 Proceedings of the 14th International Conference on Pattern Recognition-Volume 2 - Volume 2
Quantifying rollback propagation in distributed checkpointing
Journal of Parallel and Distributed Computing
Cross-architecture performance predictions for scientific applications using parameterized models
Proceedings of the joint international conference on Measurement and modeling of computer systems
Performance analysis of BSTs in system software
Proceedings of the joint international conference on Measurement and modeling of computer systems
The zero-one principle for switching networks
STOC '04 Proceedings of the thirty-sixth annual ACM symposium on Theory of computing
Optimal strategies for testing nondeterministic systems
ISSTA '04 Proceedings of the 2004 ACM SIGSOFT international symposium on Software testing and analysis
Test input generation with java PathFinder
ISSTA '04 Proceedings of the 2004 ACM SIGSOFT international symposium on Software testing and analysis
Embedding instructive assertions in program design
Proceedings of the 9th annual SIGCSE conference on Innovation and technology in computer science education
Do senior CS students capitalize on recursion?
Proceedings of the 9th annual SIGCSE conference on Innovation and technology in computer science education
Admission control with immediate notification
Journal of Scheduling - Special issue: On-line scheduling
Minimum-power multicast routing in static ad hoc wireless networks
IEEE/ACM Transactions on Networking (TON)
Formal Methods in System Design
Retiming for Wire Pipelining in System-On-Chip
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Retiming with Interconnect and Gate Delay
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Fractional Cut: Improved Recursive Bisection Placement
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Length-Matching Routing for High-Speed Printed Circuit Boards
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Multi-Domain Clock Skew Scheduling
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Tradeoffs between stretch factor and load balancing ratio in routing on growth restricted graphs
Proceedings of the twenty-third annual ACM symposium on Principles of distributed computing
A two-tier heterogeneous mobile Ad Hoc network architecture and its load-balance routing problem
Mobile Networks and Applications
A comparison of hyperstructures: zzstructures, mSpaces, and polyarchies
Proceedings of the fifteenth ACM conference on Hypertext and hypermedia
Parallel tree-projection-based sequence mining algorithms
Parallel Computing
An algorithmic view of gene teams
Theoretical Computer Science
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Efficient octilinear Steiner tree construction based on spanning graphs
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Temporal floorplanning using 3D-subTCG
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Exact GPS simulation with logarithmic complexity, and its application to an optimally fair scheduler
Proceedings of the 2004 conference on Applications, technologies, architectures, and protocols for computer communications
TestEra: Specification-Based Testing of Java Programs Using SAT
Automated Software Engineering
Parametric search made practical
Computational Geometry: Theory and Applications - Special issue on the 18th annual symposium on computational geometrySoCG2002
Algorithms for solving the symmetry number problem on trees
Information Processing Letters
Practical server privacy with secure coprocessors
IBM Systems Journal - End-to-end security
A discipline of dynamic programming over sequence data
Science of Computer Programming - Methods of software design: Techniques and applications
Phorma: perfectly hashable order restricted multidimensional arrays
Discrete Applied Mathematics - Brazilian symposium on graphs, algorithms and combinatorics
Practical PACE for embedded systems
Proceedings of the 4th ACM international conference on Embedded software
Synchronized World Embedding in Virtual Environments
IEEE Computer Graphics and Applications
Automatic Generation of Diagnostic Memory Tests Based on Fault Decomposition and Output Tracing
IEEE Transactions on Computers
Purposeful Mobility for Relaying and Surveillance in Mobile Ad Hoc Sensor Networks
IEEE Transactions on Mobile Computing
AAMAS '04 Proceedings of the Third International Joint Conference on Autonomous Agents and Multiagent Systems - Volume 1
Automation and Remote Control
Chromatic Encoding: A Low Power Encoding Technique for Digital Visual Interface
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Procedure placement using temporal-ordering information: dealing with code size expansion
Proceedings of the 2004 international conference on Compilers, architecture, and synthesis for embedded systems
Optimizing Graph Algorithms for Improved Cache Performance
IEEE Transactions on Parallel and Distributed Systems
Inferring Specifications to Detect Errors in Code
Proceedings of the 19th IEEE international conference on Automated software engineering
Proceedings of the 1st ACM workshop on Story representation, mechanism and context
Input space adaptive design: a high-level methodology for optimizing energy and performance
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Experimental analysis of the fastest optimum cycle ratio and mean algorithms
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Adaptive dissemination of data in time-critical asymmetric communication environments
Mobile Networks and Applications
Adaptive message scheduling for supporting causal ordering in wide-area group communications
Journal of Systems and Software
Towards solution of the set-splitting problem on gel-based DNA computing
Future Generation Computer Systems - Special issue: Computational chemistry and molecular dynamics
Structured models for multi-agent interactions
TARK '01 Proceedings of the 8th conference on Theoretical aspects of rationality and knowledge
Reversible sketches for efficient and accurate change detection over network data streams
Proceedings of the 4th ACM SIGCOMM conference on Internet measurement
MAC and UML for secure software design
Proceedings of the 2004 ACM workshop on Formal methods in security engineering
A multi-dimensional approach to force-directed layouts of large graphs
Computational Geometry: Theory and Applications - Special issue on the 10th fall workshop on computational geometry
Probabilistic Automated Bidding in Multiple Auctions
Electronic Commerce Research
Derivation of a logarithmic time carry lookahead addition circuit
Journal of Functional Programming
Power-Aware 3D Computer Graphics Rendering
Journal of VLSI Signal Processing Systems
Simulation output analysis: truncation point estimation using multiple replications in parallel
Proceedings of the 35th conference on Winter simulation: driving innovation
Simulation and verification II: simulating quantum computing: quantum express
Proceedings of the 35th conference on Winter simulation: driving innovation
Agent models II: dynamic path-planning for search and destroy missions -- the Bay of Biscay scenario
Proceedings of the 35th conference on Winter simulation: driving innovation
Information access in the presence of OCR errors
Proceedings of the 1st ACM workshop on Hardcopy document processing
A case for exploiting self-similarity of network traffic in TCP congestion control
Computer Networks: The International Journal of Computer and Telecommunications Networking
OSPF-based hybrid approach for scalable dissemination of QoS parameters
Computer Networks: The International Journal of Computer and Telecommunications Networking
Expected time analysis for Delaunay point location
Computational Geometry: Theory and Applications
Placement constraints in floorplan design
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Concepts of exact QoS routing algorithms
IEEE/ACM Transactions on Networking (TON)
A Uniform Projection Method for Motif Discovery in DNA Sequences
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Topology Control of Ad Hoc Wireless Networks for Energy Efficiency
IEEE Transactions on Computers
Two accounts of scope availability and semantic underspecification
ACL '99 Proceedings of the 37th annual meeting of the Association for Computational Linguistics on Computational Linguistics
Charting the depths of robust speech parsing
ACL '99 Proceedings of the 37th annual meeting of the Association for Computational Linguistics on Computational Linguistics
A bag of useful techniques for efficient and robust parsing
ACL '99 Proceedings of the 37th annual meeting of the Association for Computational Linguistics on Computational Linguistics
Automatic tiling of iterative stencil loops
ACM Transactions on Programming Languages and Systems (TOPLAS)
Investigations in tree locking for compiled database applications
CASCON '04 Proceedings of the 2004 conference of the Centre for Advanced Studies on Collaborative research
SAICSIT '04 Proceedings of the 2004 annual research conference of the South African institute of computer scientists and information technologists on IT research in developing countries
An underlay strategy for indirect routing
Wireless Networks - Special issue: Pervasive computing and communications
Approximation algorithms for partial covering problems
Journal of Algorithms
Conditional Chow-Liu tree structures for modeling discrete-valued vector time series
UAI '04 Proceedings of the 20th conference on Uncertainty in artificial intelligence
Tracking set-expression cardinalities over continuous update streams
The VLDB Journal — The International Journal on Very Large Data Bases
Exploiting Interclass Rules for Focused Crawling
IEEE Intelligent Systems
Constructing Suffix Tree for Gigabyte Sequences with Megabyte Memory
IEEE Transactions on Knowledge and Data Engineering
Optimal Superblock Scheduling Using Enumeration
Proceedings of the 37th annual IEEE/ACM International Symposium on Microarchitecture
Approximation algorithms for the optimal p-source communication spanning tree
Discrete Applied Mathematics
An improved algorithm for the k-source maximum eccentricity spanning trees
Discrete Applied Mathematics
Journal of Systems and Software
Journal of Computing Sciences in Colleges
Solving the pharaoh's golden staircase problem through dynamic programming
Journal of Computing Sciences in Colleges
Graph traversal and graph transformation
Theoretical Computer Science
The complexity of Boolean matrix root computation
Theoretical Computer Science - Special papers from: COCOON 2003
The Active Vertice method: a performant filtering approach to high-dimensional indexing
Data & Knowledge Engineering
Journal of Experimental Algorithmics (JEA)
Local ratio: A unified framework for approximation algorithms. In Memoriam: Shimon Even 1935-2004
ACM Computing Surveys (CSUR)
Incremental validation of XML documents
ACM Transactions on Database Systems (TODS)
Processing XML streams with deterministic automata and stream indexes
ACM Transactions on Database Systems (TODS)
A scheduling algorithm for optimization and early planning in high-level synthesis
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Multiresolution free form object modeling with point sampled geometry
Journal of Computer Science and Technology - Special issue on computer graphics and computer-aided design
Beyond independent components: trees and clusters
The Journal of Machine Learning Research
On external-memory MST, SSSP and multi-way planar graph separation
Journal of Algorithms
Aggregate operators in probabilistic databases
Journal of the ACM (JACM)
A self-stabilizing algorithm for the maximum flow problem
Distributed Computing
Computability in linear algebra
Theoretical Computer Science
Biocomputing
Energy-balanced task allocation for collaborative processing in wireless sensor networks
Mobile Networks and Applications
Antisequential Suffix Sorting for BWT-Based Data Compression
IEEE Transactions on Computers
Pipelining Broadcasts on Heterogeneous Platforms
IEEE Transactions on Parallel and Distributed Systems
Shared Data Allocation in a Mobile Computing System: Exploring Local and Global Optimization
IEEE Transactions on Parallel and Distributed Systems
Salient Closed Boundary Extraction with Ratio Contour
IEEE Transactions on Pattern Analysis and Machine Intelligence
Energy-Aware Routing for E-Textile Applications
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Modeling and Analysis of Loading Effect in Leakage of Nano-Scaled Bulk-CMOS Logic Circuits
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Automated Synthesis of Assertion Monitors using Visual Specifications
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Wire Planning with Bounded Over-the-Block Wires
ISQED '05 Proceedings of the 6th International Symposium on Quality of Electronic Design
Improving Throughput for Grid Applications with Network Logistics
Proceedings of the 2004 ACM/IEEE conference on Supercomputing
Utilization of GIS and graph theory for determination of optimal mailing route
Journal of Computing Sciences in Colleges
Periodic Linear Programming with applications to real-time scheduling
Mathematical Structures in Computer Science
The geometry of carpentry and joinery
Discrete Applied Mathematics - Fun with algorithms 2 (FUN 2001)
An optimal pre-determinization algorithm for weighted transducers
Theoretical Computer Science - Implementation and application of automata
Is probabilistic congestion estimation worthwhile?
Proceedings of the 2005 international workshop on System level interconnect prediction
Hardware-Assisted Visibility Sorting for Unstructured Volume Rendering
IEEE Transactions on Visualization and Computer Graphics
Architecture and Performance of Application Networking in Pervasive Content Delivery
ICDE '05 Proceedings of the 21st International Conference on Data Engineering
QoSMig: Adaptive Rate-Controlled Migration of Bulk Data in Storage Systems
ICDE '05 Proceedings of the 21st International Conference on Data Engineering
Broadcast Trees for Heterogeneous Platforms
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers - Volume 01
Roads, codes, and spatiotemporal queries
PODS '04 Proceedings of the twenty-third ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Adaptive sampling for geometric problems over data streams
PODS '04 Proceedings of the twenty-third ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
CVSscan: visualization of code evolution
SoftVis '05 Proceedings of the 2005 ACM symposium on Software visualization
A clustering- and probability-based approach for time-multiplexed FPGA partitioning
Integration, the VLSI Journal
Two algorithms for fast reclustering of dynamic meshed surfaces
Proceedings of the 2004 Eurographics/ACM SIGGRAPH symposium on Geometry processing
An Analysis of Totally Clairvoyant Scheduling
Journal of Scheduling
Cluster Maintenance in Mobile Ad-hoc Networks
Cluster Computing
Quasi-Linear Algorithms for the Topological Watershed
Journal of Mathematical Imaging and Vision
ACM Transactions on Design Automation of Electronic Systems (TODAES)
A grading dilemma or the abyss between sorting and the knapsack problem
Journal of Computing Sciences in Colleges
Boolean operations on 3D selective Nef complexes: optimized implementation and experiments
Proceedings of the 2005 ACM symposium on Solid and physical modeling
Secure routing for structured peer-to-peer overlay networks
OSDI '02 Proceedings of the 5th symposium on Operating systems design and implementationCopyright restrictions prevent ACM from being able to make the PDFs for this conference available for downloading
Executing incoherency bounded continuous queries at web data aggregators
WWW '05 Proceedings of the 14th international conference on World Wide Web
Algorithmic detection of semantic similarity
WWW '05 Proceedings of the 14th international conference on World Wide Web
On Bandwidth Adjusted Multicast Communications in Pipeline Router Architecture
The Journal of Supercomputing
Isometric-path numbers of block graphs
Information Processing Letters
Refined memorization for vertex cover
Information Processing Letters
Acceleration of sweep-line technique by employing smart quicksort
Information Sciences—Informatics and Computer Science: An International Journal
Improved latency and accuracy for neural branch prediction
ACM Transactions on Computer Systems (TOCS)
Partially ordered epochs for thread-level speculation
Proceedings of the 2nd conference on Computing frontiers
Maximum-lifetime routing algorithms for networks with omnidirectional and directional antennas
Proceedings of the 6th ACM international symposium on Mobile ad hoc networking and computing
Singularity analysis, Hadamard products, and tree recurrences
Journal of Computational and Applied Mathematics
Linearizable counting networks
Distributed Computing
Counting networks with arbitrary fan-out
Distributed Computing
Composition and evaluation of trustworthy Web Services
BSN '05 Proceedings of the IEEE EEE05 international workshop on Business services networks
The parameter-less genetic algorithm in practice
Information Sciences—Informatics and Computer Science: An International Journal
Enhancing Stochastic Search Performance by Value-Biased Randomization of Heuristics
Journal of Heuristics
A fragment-based approach for efficiently creating dynamic web content
ACM Transactions on Internet Technology (TOIT)
Robot Homing by Exploiting Panoramic Vision
Autonomous Robots
Join-distinct aggregate estimation over update streams
Proceedings of the twenty-fourth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
STRG-Index: spatio-temporal region graph indexing for large video databases
Proceedings of the 2005 ACM SIGMOD international conference on Management of data
Finding a longest nonnegative path in a constant degree tree
Information Processing Letters
A solution for the location problem in arbitrary computer networks using generic dominating sets
Proceedings of the 2005 ACM symposium on Applied computing
Knowledge based approach to semantic composition of teams in an organization
Proceedings of the 2005 ACM symposium on Applied computing
Post-Placement Pin Optimiztion
ISVLSI '05 Proceedings of the IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design
Computing the shortest path: A search meets graph theory
SODA '05 Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms
Online conflict-free coloring for intervals
SODA '05 Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms
Communication Contention in Task Scheduling
IEEE Transactions on Parallel and Distributed Systems
Periodicity Detection in Time Series Databases
IEEE Transactions on Knowledge and Data Engineering
IEEE Transactions on Knowledge and Data Engineering
Generic Model Abstraction from Examples
IEEE Transactions on Pattern Analysis and Machine Intelligence
Towards a framework for source code instrumentation measurement validation
Proceedings of the 5th international workshop on Software and performance
A linear time algorithm for the minimum weighted feedback vertex set on diamonds
Information Processing Letters
On Hamiltonian cycles and Hamiltonian paths
Information Processing Letters
A greedy strategy for detecting negative cost cycles in networks
Future Generation Computer Systems - Special issue: High-speed networks and services for data-intensive grids: The DataTAG project
Sentence ordering in multidocument summarization
HLT '01 Proceedings of the first international conference on Human language technology research
Towards an intelligent multilingual keyboard system
HLT '01 Proceedings of the first international conference on Human language technology research
Corpus-based generation of numeral classifier using phrase alignment
COLING '02 Proceedings of the 19th international conference on Computational linguistics - Volume 1
Location normalization for information extraction
COLING '02 Proceedings of the 19th international conference on Computational linguistics - Volume 1
Parse forest computation of expected governors
ACL '01 Proceedings of the 39th Annual Meeting on Association for Computational Linguistics
Semantic coherence scoring using an ontology
NAACL '03 Proceedings of the 2003 Conference of the North American Chapter of the Association for Computational Linguistics on Human Language Technology - Volume 1
Faster communication in known topology radio networks
Proceedings of the twenty-fourth annual ACM symposium on Principles of distributed computing
Balanced vertex-orderings of graphs
Discrete Applied Mathematics
Constructing internet coordinate system based on delay measurement
IEEE/ACM Transactions on Networking (TON)
Algorithms for computing QoS paths with restoration
IEEE/ACM Transactions on Networking (TON)
Encyclopedia of Computer Science
Encyclopedia of Computer Science
Encyclopedia of Computer Science
Encyclopedia of Computer Science
Automating regression testing for evolving GUI software: Research Articles
Journal of Software Maintenance and Evolution: Research and Practice - 2003 International Conference on Software Maintenance: The Architectural Evolution of Systems
Extracting key semantic terms from Chinese speech query for web searches
ACL '03 Proceedings of the 41st Annual Meeting on Association for Computational Linguistics - Volume 1
Information Sciences—Informatics and Computer Science: An International Journal - Special issue: Intelligent embedded agents
A new distributed QoS routing algorithm based on Fano's method
Computer Networks and ISDN Systems
Fast hash table lookup using extended bloom filter: an aid to network processing
Proceedings of the 2005 conference on Applications, technologies, architectures, and protocols for computer communications
An algorithm for integrated pin assignment and buffer planning
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Integration, the VLSI Journal
International Journal of High Performance Computing Applications
Extraction of Topologically Simple Isosurfaces from Volume Datasets
Proceedings of the 14th IEEE Visualization 2003 (VIS'03)
Journal of Intelligent Information Systems
Comparison of texts streams in the presence of mild adversaries
ACSW Frontiers '05 Proceedings of the 2005 Australasian workshop on Grid computing and e-research - Volume 44
Algorithm animation: using algorithm code to drive an animation
ACE '05 Proceedings of the 7th Australasian conference on Computing education - Volume 42
Query caching and view selection for XML databases
VLDB '05 Proceedings of the 31st international conference on Very large data bases
Stack-based algorithms for pattern matching on DAGs
VLDB '05 Proceedings of the 31st international conference on Very large data bases
Indexing mixed types for approximate retrieval
VLDB '05 Proceedings of the 31st international conference on Very large data bases
Soft-Spot Analysis: Targeting Compound Noise Effects in Nanometer Circuits
IEEE Design & Test
A Shrinking-Based Clustering Approach for Multidimensional Data
IEEE Transactions on Knowledge and Data Engineering
Cluster Computing for Determining Three-Dimensional Protein Structure
The Journal of Supercomputing
An inexact-suffix-tree-based algorithm for detecting extensible patterns
Theoretical Computer Science - Pattern discovery in the post genome
Computing the maximum agreement of phylogenetic networks
Theoretical Computer Science - Pattern discovery in the post genome
Fast and fair: data-stream quality of service
Proceedings of the 2005 international conference on Compilers, architectures and synthesis for embedded systems
Design of a next generation sampling service for large scale data analysis applications
Proceedings of the 19th annual international conference on Supercomputing
Scalable, memory efficient, high-speed IP lookup algorithms
IEEE/ACM Transactions on Networking (TON)
Merging path and gshare indexing in perceptron branch prediction
ACM Transactions on Architecture and Code Optimization (TACO)
Accessibility of Analysis of Algorithms: from programming to problem solving
Journal of Computing Sciences in Colleges
Structural filtering: a paradigm for efficient and exact geometric programs
Computational Geometry: Theory and Applications - Special issue: The 11th Candian conference on computational geometry - CCCG 99
Extending differentiated services architecture for multicasting provisioning
Computer Networks: The International Journal of Computer and Telecommunications Networking
Improving Load Balance with Flexibly Assignable Tasks
IEEE Transactions on Parallel and Distributed Systems
Revisiting and parallelizing SHAKE
Journal of Computational Physics
Models and heuristics for resource co-reservation in computational grids
Neural, Parallel & Scientific Computations - Special issue: Grid computing
OOPSLA '05 Proceedings of the 20th annual ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
Beta-connection: Generating a family of models from planar cross sections
ACM Transactions on Graphics (TOG)
Segmented hash: an efficient hash table implementation for high performance networking subsystems
Proceedings of the 2005 ACM symposium on Architecture for networking and communications systems
Communication and Memory Optimal Parallel Data Cube Construction
IEEE Transactions on Parallel and Distributed Systems
Computers and Operations Research - Articles presented at the conference on routing and location (CORAL)
IEEE Transactions on Visualization and Computer Graphics
Ownership confinement ensures representation independence for object-oriented programs
Journal of the ACM (JACM)
Inferring similarity between music objects with application to playlist generation
Proceedings of the 7th ACM SIGMM international workshop on Multimedia information retrieval
Algorithms for precomputing constrained widest paths and multicast trees
IEEE/ACM Transactions on Networking (TON)
Selectors Make Set-Based Analysis Too Hard
Higher-Order and Symbolic Computation
Dependency Parsing with an Extended Finite-State Approach
Computational Linguistics
Optimal Scheduling in Buffered WDM Interconnects with Limited Range Wavelength Conversion Capability
IEEE Transactions on Computers
HOT SAX: Efficiently Finding the Most Unusual Time Series Subsequence
ICDM '05 Proceedings of the Fifth IEEE International Conference on Data Mining
Fast Optical Character Recognition through Glyph Hashing for Document Conversion
ICDAR '05 Proceedings of the Eighth International Conference on Document Analysis and Recognition
Boosting-based Transductive Learning for Text Detection
ICDAR '05 Proceedings of the Eighth International Conference on Document Analysis and Recognition
Evolutionary Body Building: Adaptive Physical Designs for Robots
Artificial Life
Programming and Computing Software
View Invariance for Human Action Recognition
International Journal of Computer Vision
Evolving Evolutionary Algorithms Using Linear Genetic Programming
Evolutionary Computation
Computing steiner minimum trees in Hamming metric
SODA '06 Proceedings of the seventeenth annual ACM-SIAM symposium on Discrete algorithm
A simple GAP-canceling algorithm for the generalized maximum flow problem
SODA '06 Proceedings of the seventeenth annual ACM-SIAM symposium on Discrete algorithm
A computational study of external-memory BFS algorithms
SODA '06 Proceedings of the seventeenth annual ACM-SIAM symposium on Discrete algorithm
A monadic approach for avoiding code duplication when staging memoized functions
Proceedings of the 2006 ACM SIGPLAN symposium on Partial evaluation and semantics-based program manipulation
Recursive data structure profiling
Proceedings of the 2005 workshop on Memory system performance
Clock schedule verification under process variations
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
A unified theory of timing budget management
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
FLUTE: fast lookup table based wirelength estimation technique
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Simultaneous escape routing and layer assignment for dense PCBs
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Algorithms for Chordal Analysis
Computer Music Journal
Example-based machine translation using efficient sentence retrieval based on edit-distance
ACM Transactions on Asian Language Information Processing (TALIP)
Finger trees: a simple general-purpose data structure
Journal of Functional Programming
Precise widening operators for convex polyhedra
Science of Computer Programming - Special issue: Static analysis symposium (SAS 2003)
Optimal union-find in Constraint Handling Rules
Theory and Practice of Logic Programming
Make Me a Match: An Evaluation of Different Approaches to Score Performance Matching
Computer Music Journal
The cgmCUBE project: Optimizing parallel data cube generation for ROLAP
Distributed and Parallel Databases
Planning Tours of Robotic Arms among Partitioned Goals
International Journal of Robotics Research
Example-based machine translation using DP-matching between word sequences
DMMT '01 Proceedings of the workshop on Data-driven methods in machine translation - Volume 14
HLT-NAACL-GEOREF '03 Proceedings of the HLT-NAACL 2003 workshop on Analysis of geographic references - Volume 1
Heterogeneous temporal probabilistic agents
ACM Transactions on Computational Logic (TOCL)
Fast buffer planning and congestion optimization in interconnect-driven floorplanning
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
Floorplanning with power supply noise avoidance
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
Simultaneous floorplanning and buffer block planning
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
Using red-black interval trees in device-level analog placement with symmetry constraints
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
Efficient DDD-based term generation algorithm for analog circuit behavioral modeling
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
Sensor networks and distributed CSP: communication, computation and complexity
Artificial Intelligence - Special issue: Distributed constraint satisfaction
The polygonal contraction heuristic for rectilinear Steiner tree construction
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Process variation robust clock tree routing
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Reversing: an essential heuristic in program and proof design
Proceedings of the 37th SIGCSE technical symposium on Computer science education
A partial order semantics approach to the clock explosion problem of timed automata
Theoretical Computer Science - Tools and algorithms for the construction and analysis of systems (TACAS 2004)
ACM-SE 33 Proceedings of the 33rd annual on Southeast regional conference
Thread-Shared Software Code Caches
Proceedings of the International Symposium on Code Generation and Optimization
Journal of VLSI Signal Processing Systems
"MAMA!": a memory allocator for multithreaded architectures
Proceedings of the eleventh ACM SIGPLAN symposium on Principles and practice of parallel programming
Proceedings of the 2006 international symposium on Physical design
Floorplan and power/ground network co-synthesis for fast design convergence
Proceedings of the 2006 international symposium on Physical design
Using shortest path algorithms to identify criminal associations
dg.o '02 Proceedings of the 2002 annual national conference on Digital government research
The costs and limits of availability for replicated services
ACM Transactions on Computer Systems (TOCS)
Two-layer bus routing for high-speed printed circuit boards
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Improving the energy behavior of block buffering using compiler optimizations
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Solving the multidimensional multiple-choice knapsack problem by constructing convex hulls
Computers and Operations Research
Supporting children's rhythm learning using vibration devices
CHI '06 Extended Abstracts on Human Factors in Computing Systems
Web services navigator: visualizing the execution of web services
IBM Systems Journal
Exceptions and side-effects in atomic blocks
Science of Computer Programming - Special issue: Concurrency and synchronization in Java programs
An immediate approach to balancing nodes in binary search trees
Journal of Computing Sciences in Colleges
Approximate Processing of Massive Continuous Quantile Queries over High-Speed Data Streams
IEEE Transactions on Knowledge and Data Engineering
Multibeam Antenna-Based Topology Control with Directional Power Intensity for Ad Hoc Networks
IEEE Transactions on Mobile Computing
Conformal virtual colon flattening
Proceedings of the 2006 ACM symposium on Solid and physical modeling
SPIDER: simultaneous post-layout IR-drop and metal density enhancement with redundant fill
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Clustering for processing rate optimization
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
An escape routing framework for dense boards with high-speed design constraints
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Optimal routing algorithms for pin clusters in high-density multichip modules
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Scheduling under resource constraints using dis-equations
Proceedings of the conference on Design, automation and test in Europe: Proceedings
A concurrent testing method for NoC switches
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Reducing code size through address register assignment
ACM Transactions on Embedded Computing Systems (TECS)
Graph mining: Laws, generators, and algorithms
ACM Computing Surveys (CSUR)
Supporting authorization query and inter-domain role mapping in presence of hybrid role hierarchy
Proceedings of the eleventh ACM symposium on Access control models and technologies
Matching output queueing with a multiple input/output-queued switch
IEEE/ACM Transactions on Networking (TON)
On the complexity of and algorithms for finding the shortest path with a disjoint counterpart
IEEE/ACM Transactions on Networking (TON)
Impact of Body Bias on Delay Fault Testing of Sub-100 nm CMOS Circuits
Journal of Electronic Testing: Theory and Applications
On a Minimum Linear Classification Problem
Journal of Global Optimization
Compiler optimizations for nondeferred reference: counting garbage collection
Proceedings of the 5th international symposium on Memory management
Accurate, efficient, and adaptive calling context profiling
Proceedings of the 2006 ACM SIGPLAN conference on Programming language design and implementation
Publishing and composition of atomicity-equivalent services for B2B collaboration
Proceedings of the 28th international conference on Software engineering
Simultaneous fuzzy segmentation of multiple objects
Discrete Applied Mathematics - Special issue: IWCIA 2003 - Ninth international workshop on combinatorial image analysis
Using annotations in enterprise search
Proceedings of the 15th international conference on World Wide Web
A Scalable Architecture For High-Throughput Regular-Expression Pattern Matching
Proceedings of the 33rd annual international symposium on Computer Architecture
Detecting objects in images in real-time computer vision systems using structured geometric models
Programming and Computing Software
On Optimization of E-Textile Systems Using Redundancy and Energy-Aware Routing
IEEE Transactions on Computers
Toward a Theory for Scheduling Dags in Internet-Based Computing
IEEE Transactions on Computers
Exact computation of protein structure similarity
Proceedings of the twenty-second annual symposium on Computational geometry
Law of large numbers system design
Nano, quantum and molecular computing
A lifetime optimal algorithm for speculative PRE
ACM Transactions on Architecture and Code Optimization (TACO)
Efficient address remapping in distributed shared-memory systems
ACM Transactions on Architecture and Code Optimization (TACO)
A methodology for clustering XML documents by structure
Information Systems
Optimal 2-constraint satisfaction via sum-product algorithms
Information Processing Letters
Farthest-point queries with geometric and combinatorial constraints
Computational Geometry: Theory and Applications
New heuristics for packing unequal circles into a circular container
Computers and Operations Research
The capacitated centred clustering problem
Computers and Operations Research
Improvement of the processors operating ratio in task scheduling using the deadline method
Enhanced methods in computer security, biometric and artificial intelligence systems
Lower and upper bounds on obtaining history independence
Information and Computation
Cost models for distance joins queries using R-trees
Data & Knowledge Engineering
Dynamic consistency checking for temporal and spatial relations in multimedia presentations
Proceedings of the 2006 ACM symposium on Applied computing
Static analysis of time bounded reactive properties of Boolean symbols
Proceedings of the 2006 ACM symposium on Applied computing
ACM Transactions on Mathematical Software (TOMS)
Space- and time-efficient deterministic algorithms for biased quantiles over data streams
Proceedings of the twenty-fifth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Efficient algorithms for robustness in resource allocation and scheduling problems
Theoretical Computer Science
Conjugate network calculus: a dual approach applying the Legendre transform
Computer Networks: The International Journal of Computer and Telecommunications Networking - Selected papers from the 3rd international workshop on QoS in multiservice IP networks (QoS-IP 2005)
Efficient timed model checking for discrete-time systems
Theoretical Computer Science
Fast nonparametric clustering with Gaussian blurring mean-shift
ICML '06 Proceedings of the 23rd international conference on Machine learning
The relationship between Precision-Recall and ROC curves
ICML '06 Proceedings of the 23rd international conference on Machine learning
Generalised Cumulative Arrays in Secret Sharing
Designs, Codes and Cryptography
Higher-Order and Symbolic Computation
Reducing to independent set structure: the case of k-internal spanning tree
Nordic Journal of Computing
Oracle size: a new measure of difficulty for communication tasks
Proceedings of the twenty-fifth annual ACM symposium on Principles of distributed computing
Predicate learning and selective theory deduction for a difference logic solver
Proceedings of the 43rd annual Design Automation Conference
Architecture-aware FPGA placement using metric embedding
Proceedings of the 43rd annual Design Automation Conference
Approximating the minimum number of maximum power users in ad hoc networks
Mobile Networks and Applications
Automated reformulation of specifications by safe delay of constraints
Artificial Intelligence
Weighted path queries on semistructured databases
Information and Computation
Fast verification of MLL proof nets via IMLL
ACM Transactions on Computational Logic (TOCL)
Models and solutions for radio irregularity in wireless sensor networks
ACM Transactions on Sensor Networks (TOSN)
Distributed computing using Java: a comparison of two server designs
Journal of Systems Architecture: the EUROMICRO Journal
On a parallel machine scheduling problem with precedence constraints
Journal of Scheduling
Maximally informative k-itemsets and their efficient discovery
Proceedings of the 12th ACM SIGKDD international conference on Knowledge discovery and data mining
Measuring and extracting proximity in networks
Proceedings of the 12th ACM SIGKDD international conference on Knowledge discovery and data mining
Throughput scalability of wireless hybrid networks over a random geometric graph
Wireless Networks - Special issue: Selected papers from ACM MobiCom 2003
Fully dynamic all pairs shortest paths with real edge weights
Journal of Computer and System Sciences - Special issue on FOCS 2001
Temporal stratification tests for linear and branching-time deductive databases
Theoretical Computer Science
Extracting and improving microarchitecture performance on reconfigurable architectures
International Journal of Parallel Programming - Special issue: The next generation software program
Compiling for stream processing
Proceedings of the 15th international conference on Parallel architectures and compilation techniques
Hierarchical hippocratic databases with minimal disclosure for virtual organizations
The VLDB Journal — The International Journal on Very Large Data Bases
An Algorithm for Approximate Multiparametric Convex Programming
Computational Optimization and Applications
Distributed disk-based algorithms for model checking very large Markov chains
Formal Methods in System Design
PeerCluster: A Cluster-Based Peer-to-Peer System
IEEE Transactions on Parallel and Distributed Systems
Computer Aided Geometric Design - Special issue: Applications of geometric modeling in the life sciences
Optimal constrained graph exploration
ACM Transactions on Algorithms (TALG)
Maximizing network lifetime of broadcasting over wireless stationary ad hoc networks
Mobile Networks and Applications
Forming efficient agent groups for completing complex tasks
AAMAS '06 Proceedings of the fifth international joint conference on Autonomous agents and multiagent systems
Graphical Models - Special issue on PG2004
Scheduling with QoS in parallel I/O systems
SNAPI '04 Proceedings of the international workshop on Storage network architecture and parallel I/Os
Adaptive execution of variable-accuracy functions
VLDB '06 Proceedings of the 32nd international conference on Very large data bases
Full disjunctions: polynomial-delay iterators in action
VLDB '06 Proceedings of the 32nd international conference on Very large data bases
Computing throughput capacity for realistic wireless multihop networks
Proceedings of the 9th ACM international symposium on Modeling analysis and simulation of wireless and mobile systems
SBMT: Steiner backup multicast tree
International Journal of Computers and Applications
Iuriservice II: ontology development and architectural design
ICAIL '05 Proceedings of the 10th international conference on Artificial intelligence and law
The SAT-based Approach to Separation Logic
Journal of Automated Reasoning
An efficient algorithm to find a double-loop network that realizes a given L-shape
Theoretical Computer Science
Fast string matching by using probabilities: on an optimal mismatch variant of Horspool's algorithm
Theoretical Computer Science
Proceedings of the 43rd annual Southeast regional conference - Volume 1
Efficient software model checking of data structure properties
Proceedings of the 21st annual ACM SIGPLAN conference on Object-oriented programming systems, languages, and applications
A minimum interference routing algorithm with reduced computational complexity
Computer Networks: The International Journal of Computer and Telecommunications Networking
Level of repair analysis and minimum cost homomorphisms of graphs
Discrete Applied Mathematics
Efficient approximation algorithms for the achromatic number
Theoretical Computer Science - Approximation and online algorithms
Harmonic DiffServ: provisioning scalable heterogeneous-QoS multicast in DiffServ networks
ICME '03 Proceedings of the 2003 International Conference on Multimedia and Expo - Volume 2
An Optimum Algorithm for Compacting Error Traces for Efficient Design Error Debugging
IEEE Transactions on Computers
IEEE Transactions on Parallel and Distributed Systems
Dense Photometric Stereo: A Markov Random Field Approach
IEEE Transactions on Pattern Analysis and Machine Intelligence
Fast Agglomerative Clustering Using a k-Nearest Neighbor Graph
IEEE Transactions on Pattern Analysis and Machine Intelligence
A dynamic code placement technique for scratchpad memory using postpass optimization
CASES '06 Proceedings of the 2006 international conference on Compilers, architecture and synthesis for embedded systems
Scratchpad memory management for portable systems with a memory management unit
EMSOFT '06 Proceedings of the 6th ACM & IEEE International conference on Embedded software
Global Detection of Salient Convex Boundaries
International Journal of Computer Vision
Multiple wire reconnections based on implication flow graph
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Evading network anomaly detection systems: formal reasoning and practical techniques
Proceedings of the 13th ACM conference on Computer and communications security
Program termination analysis in polynomial time
ACM Transactions on Programming Languages and Systems (TOPLAS)
Supporting guided navigation in mobile virtual environments
Proceedings of the ACM symposium on Virtual reality software and technology
Multimedia thumbnails for documents
MULTIMEDIA '06 Proceedings of the 14th annual ACM international conference on Multimedia
Table design in dynamic programming
Information and Computation
A complete mechanization of correctness of a string-preprocessing algorithm
Formal Methods in System Design
Video-guided motion synthesis using example motions
ACM Transactions on Graphics (TOG)
Lightweight lock-free synchronization methods for multithreading
Proceedings of the 20th annual international conference on Supercomputing
Identifying redundant search engines in a very large scale metasearch engine context
WIDM '06 Proceedings of the 8th annual ACM international workshop on Web information and data management
The averaged mappings problem: statement, applications, and approximate solution
Proceedings of the 44th annual Southeast regional conference
Incremental computation of shortest paths in semi-dynamic graphs using software components
Proceedings of the 44th annual Southeast regional conference
A conceptual model for agent-based simulation of physical security systems
Proceedings of the 44th annual Southeast regional conference
Interprocedural slicing of multithreaded programs with applications to Java
ACM Transactions on Programming Languages and Systems (TOPLAS)
Constrained Texture Synthesis via Energy Minimization
IEEE Transactions on Visualization and Computer Graphics
Analyzing the input stream for character- level errors in unconstrained text entry evaluations
ACM Transactions on Computer-Human Interaction (TOCHI)
Maintaining stream statistics over multiscale sliding windows
ACM Transactions on Database Systems (TODS)
A Fast Biologically Inspired Algorithm for Recurrent Motion Estimation
IEEE Transactions on Pattern Analysis and Machine Intelligence
Finite graph automata for linear and boundary graph languages
Theoretical Computer Science
Counting models for 2SAT and 3SAT formulae
Theoretical Computer Science
Offset assignment using simultaneous variable coalescing
ACM Transactions on Embedded Computing Systems (TECS)
ACM Transactions on Database Systems (TODS)
Traffic Engineering and QoS Optimization of Integrated Voice & Data Networks
Traffic Engineering and QoS Optimization of Integrated Voice & Data Networks
Cache-Friendly implementations of transitive closure
Journal of Experimental Algorithmics (JEA)
On-Chip Communication Architectures: System on Chip Interconnect
On-Chip Communication Architectures: System on Chip Interconnect
Improved algorithmms for the k maximum-sums problems
Theoretical Computer Science
Inferring a level-1 phylogenetic network from a dense set of rooted triplets
Theoretical Computer Science - Computing and combinatorics
JET: dynamic join-exit-tree amortization and scheduling for contributory key management
IEEE/ACM Transactions on Networking (TON)
B-rep SE: simplicially enhanced boundary representation
SM '04 Proceedings of the ninth ACM symposium on Solid modeling and applications
Implementing a cost-aware evaluator for ACL2 expressions
ACL2 '06 Proceedings of the sixth international workshop on the ACL2 theorem prover and its applications
Fast arbitrary splitting of deforming objects
Proceedings of the 2006 ACM SIGGRAPH/Eurographics symposium on Computer animation
Program slicing for declarative models
ACM SIGSOFT Software Engineering Notes
A sentimental education: sentiment analysis using subjectivity summarization based on minimum cuts
ACL '04 Proceedings of the 42nd Annual Meeting on Association for Computational Linguistics
Computing locally coherent discourses
ACL '04 Proceedings of the 42nd Annual Meeting on Association for Computational Linguistics
FSA: an efficient and flexible C++ toolkit for finite state automata using on-demand computation
ACL '04 Proceedings of the 42nd Annual Meeting on Association for Computational Linguistics
On the stabbing number of a random Delaunay triangulation
Computational Geometry: Theory and Applications
Code-coverage guided prioritized test generation
Information and Software Technology
Compression-based fixed-parameter algorithms for feedback vertex set and edge bipartization
Journal of Computer and System Sciences
Test generation for interaction detection in feature-rich communication systems
Computer Networks: The International Journal of Computer and Telecommunications Networking
ORANGE: a method for evaluating automatic evaluation metrics for machine translation
COLING '04 Proceedings of the 20th international conference on Computational Linguistics
A discriminative matching approach to word alignment
HLT '05 Proceedings of the conference on Human Language Technology and Empirical Methods in Natural Language Processing
Non-projective dependency parsing using spanning tree algorithms
HLT '05 Proceedings of the conference on Human Language Technology and Empirical Methods in Natural Language Processing
Aggregation via set partitioning for natural language generation
HLT-NAACL '06 Proceedings of the main conference on Human Language Technology Conference of the North American Chapter of the Association of Computational Linguistics
A practical approximation algorithm for the LMS line estimator
Computational Statistics & Data Analysis
A genetic system based on simulated crossover of sequences of two-bit genes
Theoretical Computer Science
An intersection-sensitive algorithm for snap rounding
Computational Geometry: Theory and Applications
Distance-preserving approximations of polygonal paths
Computational Geometry: Theory and Applications
A combinatorial strongly subexponential strategy improvement algorithm for mean payoff games
Discrete Applied Mathematics
Computer Networks: The International Journal of Computer and Telecommunications Networking
Recognizing and representing proper interval graphs in parallel using merging and sorting
Discrete Applied Mathematics
Distance and nearest neighbor transforms on gray-level surfaces
Pattern Recognition Letters
Convergent design of piecewise linear neural networks
Neurocomputing
Feasibility and complexity of broadcasting with random transmission failures
Theoretical Computer Science
Protections for multicast session in WDM optical networks under reliability constraints
Journal of Network and Computer Applications
Recent advances in rate control for video coding
Image Communication
A note on data structures for maintaining bipartitions
Journal of Discrete Algorithms
Metaheuristics for optimization problems in computer communications
Computer Communications
WF2Q-M: Worst-case fair weighted fair queueing with maximum rate control
Computer Networks: The International Journal of Computer and Telecommunications Networking
Journal of Parallel and Distributed Computing
Extreme Runtimes of Schedules Modelled by Time Petri Nets
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P 2004)
Complexity accuracy tradeoffs of Lie operators in motion estimation
Pattern Recognition Letters
Managing the power resources of sensor networks with performance considerations
Computer Communications
Topology aggregation for combined additive and restrictive metrics
Computer Networks: The International Journal of Computer and Telecommunications Networking
Data harvesting with mobile elements in wireless sensor networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Parallel Computing - Algorithmic skeletons
Marking musical dictations using the edit distance algorithm
Software—Practice & Experience
An efficient net ordering algorithm for buffer insertion
Proceedings of the 17th ACM Great Lakes symposium on VLSI
Proceedings of the 12th ACM SIGPLAN symposium on Principles and practice of parallel programming
Information Sciences: an International Journal
Fast congruence closure and extensions
Information and Computation
Visual assessment of software evolution
Science of Computer Programming
On investigating overlay service topologies
Computer Networks: The International Journal of Computer and Telecommunications Networking
A performance comparison of distance-based query algorithms using R-trees in spatial databases
Information Sciences: an International Journal
Designing application-specific networks on chips with floorplan information
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Technology migration techniques for simplified layouts with restrictive design rules
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Supporting user mobility through cache relocation
Mobile Information Systems
Procedure placement using temporal-ordering information: Dealing with code size expansion
Journal of Embedded Computing - Cache exploitation in embedded systems
Improving power efficiency with compiler-assisted cache replacement
Journal of Embedded Computing - Cache exploitation in embedded systems
A translator system for the MATLAB language: Research Articles
Software—Practice & Experience
A distributed multicast routing algorithm for real-time applications in wide area networks
Journal of Parallel and Distributed Computing
Dynamic ordered sets with exponential search trees
Journal of the ACM (JACM)
When are elections with few candidates hard to manipulate?
Journal of the ACM (JACM)
Mining association rules in very large clustered domains
Information Systems
On the intercluster distance of a tree metric
Theoretical Computer Science
A New Singly Connected Network Classifier based on Mutual Information
Intelligent Data Analysis
Markov Decision Process Based Multiple Codes Assignment in UMTS WCDMA Mobile Networks
Wireless Personal Communications: An International Journal
Web Intelligence and Agent Systems
Web Intelligence and Agent Systems
Journal of Computer and System Sciences
On sequential diagnosis of multiprocessor systems
Discrete Applied Mathematics
Extended wavelets for multiple measures
ACM Transactions on Database Systems (TODS)
Two graph theory based methods for identifying the pectoral muscle in mammograms
Pattern Recognition
Randomized algorithm for the sum selection problem
Theoretical Computer Science
Theoretical Computer Science
Randomized local search, evolutionary algorithms, and the minimum spanning tree problem
Theoretical Computer Science
Modeling deceptive information dissemination using a holistic approach
Proceedings of the 2007 ACM symposium on Applied computing
The semantics of graphical languages
Proceedings of the 2007 ACM SIGPLAN symposium on Partial evaluation and semantics-based program manipulation
FLUX interconnection networks on demand
Journal of Systems Architecture: the EUROMICRO Journal
Rotation and lighting invariant template matching
Information and Computation
Algorithms for computing parameters of graph-based extensions of BCH codes
Journal of Discrete Algorithms
Maximum agreement and compatible supertrees
Journal of Discrete Algorithms
Characterization, testing and reconfiguration of faults in mesh networks
Integration, the VLSI Journal
Computational Geometry: Theory and Applications
Algorithmic Debugging of Java Programs
Electronic Notes in Theoretical Computer Science (ENTCS)
Tackling group-to-tree matching in large scale group communications
Computer Networks: The International Journal of Computer and Telecommunications Networking
Local MST computation with short advice
Proceedings of the nineteenth annual ACM symposium on Parallel algorithms and architectures
Efficient Learning of Label Ranking by Soft Projections onto Polyhedra
The Journal of Machine Learning Research
The Pyramid Match Kernel: Efficient Learning with Sets of Features
The Journal of Machine Learning Research
Backward Bisimulation in Markov Chain Model Checking
IEEE Transactions on Software Engineering
Sequential Circuits for Relational Analysis
ICSE '07 Proceedings of the 29th international conference on Software Engineering
Kato: A Program Slicing Tool for Declarative Specifications
ICSE '07 Proceedings of the 29th international conference on Software Engineering
Understanding Tradeoffs in Software Transactional Memory
Proceedings of the International Symposium on Code Generation and Optimization
On the Complexity of Register Coalescing
Proceedings of the International Symposium on Code Generation and Optimization
Tetris: a new register pressure control technique for VLIW processors
Proceedings of the 2007 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Dynamic data scratchpad memory management for a memory subsystem with an MMU
Proceedings of the 2007 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
A verification system for transient response of analog circuits
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Implementing DSP Algorithms with On-Chip Networks
NOCS '07 Proceedings of the First International Symposium on Networks-on-Chip
Exploring IBA Design Space for Improved Performance
IEEE Transactions on Parallel and Distributed Systems
Vector Field Editing and Periodic Orbit Extraction Using Morse Decomposition
IEEE Transactions on Visualization and Computer Graphics
Efficient Revalidation of XML Documents
IEEE Transactions on Knowledge and Data Engineering
Inferring specifications to detect errors in code
Automated Software Engineering
The design and implementation of a dataflow language for scriptable debugging
Automated Software Engineering
Optimization and evaluation of shortest path queries
The VLDB Journal — The International Journal on Very Large Data Bases
Bijective counting of Kreweras walks and loopless triangulations
Journal of Combinatorial Theory Series A
Abstraction and refinement techniques in automated design debugging
Proceedings of the conference on Design, automation and test in Europe
Microarchitecture floorplanning for sub-threshold leakage reduction
Proceedings of the conference on Design, automation and test in Europe
Inter-domain role mapping and least privilege
Proceedings of the 12th ACM symposium on Access control models and technologies
ATEC '00 Proceedings of the annual conference on USENIX Annual Technical Conference
A tool for constructing safe extensible C++ systems
COOTS'97 Proceedings of the 3rd conference on USENIX Conference on Object-Oriented Technologies (COOTS) - Volume 3
Distributed multi-join query processing in data grids
Information Sciences: an International Journal
Refactoring--Does It Improve Software Quality?
WoSQ '07 Proceedings of the 5th International Workshop on Software Quality
IEEE Transactions on Computers
Bounded-Collision Memory-Mapping Schemes for Data Structures with Applications to Parallel Memories
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Mobile Computing
Probabilistic Context-Free Grammars Estimated from Infinite Distributions
IEEE Transactions on Pattern Analysis and Machine Intelligence
Factoring synchronous grammars by sorting
COLING-ACL '06 Proceedings of the COLING/ACL on Main conference poster sessions
CarpeDiem: an algorithm for the fast evaluation of SSL classifiers
Proceedings of the 24th international conference on Machine learning
Proceedings of the 24th international conference on Machine learning
JooJ: real-time support for avoiding cyclic dependencies
ACSC '07 Proceedings of the thirtieth Australasian conference on Computer science - Volume 62
Tracking the changes of dynamic web pages in the existence of URL rewriting
AusDM '06 Proceedings of the fifth Australasian conference on Data mining and analystics - Volume 61
Systematic generation of glass-box test cases for functional logic programs
Proceedings of the 9th ACM SIGPLAN international conference on Principles and practice of declarative programming
Scalable precision cache analysis for real-time software
ACM Transactions on Embedded Computing Systems (TECS) - Special Section LCTES'05
From outliers to prototypes: Ordering data
Neurocomputing
Image-guided maze construction
ACM SIGGRAPH 2007 papers
A unified model of optimisation problems
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Copyright Protection Schemes for On-Line Media Distribution E-Services
International Journal of Electronic Commerce
Multithreaded programming in Cilk
Proceedings of the 2007 international workshop on Parallel symbolic computation
Clock skew scheduling with race conditions considered
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Escape routing for dense pin clusters in integrated circuits
Proceedings of the 44th annual Design Automation Conference
RISPP: rotating instruction set processing platform
Proceedings of the 44th annual Design Automation Conference
Discrete bisector function and Euclidean skeleton in 2D and 3D
Image and Vision Computing
Approximation and heuristic algorithms for minimum-delay application-layer multicast trees
IEEE/ACM Transactions on Networking (TON)
Theoretical Computer Science
Object segmentation using graph cuts based active contours
Computer Vision and Image Understanding
A freehand sketching interface for progressive construction of 3D objects
ACM SIGGRAPH 2007 courses
Developable surfaces from arbitrary sketched boundaries
SGP '07 Proceedings of the fifth Eurographics symposium on Geometry processing
High-level synthesis of DSP applications using adaptive negative cycle detection
EURASIP Journal on Applied Signal Processing
Summarizing audiovisual contents of a video program
EURASIP Journal on Applied Signal Processing
A fast and efficient topological coding algorithm for compound images
EURASIP Journal on Applied Signal Processing
A network formation game for bipartite exchange economies
SODA '07 Proceedings of the eighteenth annual ACM-SIAM symposium on Discrete algorithms
Application-layer multipath data transfer via TCP: Schemes and performance tradeoffs
Performance Evaluation
Probabilistic suffix models for API sequence analysis of Windows XP applications
Pattern Recognition
High-Speed Dynamic Packet Filtering
Journal of Network and Systems Management
The partitioned exponential file for database storage management
The VLDB Journal — The International Journal on Very Large Data Bases
User-assisted similarity estimation for searching related web pages
Proceedings of the eighteenth conference on Hypertext and hypermedia
Maintaining coherency of dynamic data in cooperating repositories
VLDB '02 Proceedings of the 28th international conference on Very Large Data Bases
A specification-based approach to testing software product lines
Proceedings of the the 6th joint meeting of the European software engineering conference and the ACM SIGSOFT symposium on The foundations of software engineering
Capacity of multi-channel wireless networks with random (c, f) assignment
Proceedings of the 8th ACM international symposium on Mobile ad hoc networking and computing
Robust blind watermarking mechanism for point sampled geometry
Proceedings of the 9th workshop on Multimedia & security
Safe composition of product lines
GPCE '07 Proceedings of the 6th international conference on Generative programming and component engineering
Path constraints in semistructured data
Theoretical Computer Science
Extracting constrained 2-interval subsets in 2-interval sets
Theoretical Computer Science
Chaos and Graphics: Efficient Pythagorean trees: Greed is good
Computers and Graphics
Computers and Operations Research
The k-traveling repairmen problem
ACM Transactions on Algorithms (TALG)
A persistent union-find data structure
ML '07 Proceedings of the 2007 workshop on Workshop on ML
Refined typechecking with Stardust
PLPV '07 Proceedings of the 2007 workshop on Programming languages meets program verification
Guaranteed on-demand discovery of node-disjoint paths in ad hoc networks
Computer Communications
A surface-based approach for classification of 3D neuroanatomic structures
Intelligent Data Analysis
Secure web applications via automatic partitioning
Proceedings of twenty-first ACM SIGOPS symposium on Operating systems principles
Constrained-storage multistage vector quantization based on genetic algorithms
Pattern Recognition
A specification-based approach to testing software product lines
The 6th Joint Meeting on European software engineering conference and the ACM SIGSOFT symposium on the foundations of software engineering: companion papers
IEEE/ACM Transactions on Networking (TON)
The power of tuning: a novel approach for the efficient design of survivable networks
IEEE/ACM Transactions on Networking (TON)
Maximizing the weighted number of just-in-time jobs in flow shop scheduling
Journal of Scheduling
Starc: static analysis for efficient repair of complex data
Proceedings of the 22nd annual ACM SIGPLAN conference on Object-oriented programming systems and applications
Measuring and extracting proximity graphs in networks
ACM Transactions on Knowledge Discovery from Data (TKDD)
An infinite hierarchy induced by depth synchronization
Theoretical Computer Science
Investigating the Adaptiveness of Communication in Multi-Agent Behavior Coordination
Adaptive Behavior - Animals, Animats, Software Agents, Robots, Adaptive Systems
Exact Fault-Sensitive Feasibility Analysis of Real-Time Tasks
IEEE Transactions on Computers
Adaptive Allocation of Independent Tasks to Maximize Throughput
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Efficient and Scalable Algorithms for Inferring Likely Invariants in Distributed Systems
IEEE Transactions on Knowledge and Data Engineering
Deploying Wireless Sensor Networks under Limited Mobility Constraints
IEEE Transactions on Mobile Computing
Three-Dimensional Surface Mesh Segmentation Using Curvedness-Based Region Growing Approach
IEEE Transactions on Pattern Analysis and Machine Intelligence
Tour generation for exploration of 3D virtual environments
Proceedings of the 2007 ACM symposium on Virtual reality software and technology
A shrinking-based approach for multi-dimensional data analysis
VLDB '03 Proceedings of the 29th international conference on Very large data bases - Volume 29
Efficient approximation of optimization queries under parametric aggregation constraints
VLDB '03 Proceedings of the 29th international conference on Very large data bases - Volume 29
Query processing in spatial network databases
VLDB '03 Proceedings of the 29th international conference on Very large data bases - Volume 29
Objectrank: authority-based keyword search in databases
VLDB '04 Proceedings of the Thirtieth international conference on Very large data bases - Volume 30
A privacy-preserving index for range queries
VLDB '04 Proceedings of the Thirtieth international conference on Very large data bases - Volume 30
High performance index build algorithms for intranet search engines
VLDB '04 Proceedings of the Thirtieth international conference on Very large data bases - Volume 30
Opportunistic Encryption: A Trade-Off between Security and Throughput in Wireless Networks
IEEE Transactions on Dependable and Secure Computing
Just in time indexing for up to the second search
Proceedings of the sixteenth ACM conference on Conference on information and knowledge management
Assertion-based repair of complex data structures
Proceedings of the twenty-second IEEE/ACM international conference on Automated software engineering
Synthesis of test purpose directed reactive planning tester for nondeterministic systems
Proceedings of the twenty-second IEEE/ACM international conference on Automated software engineering
Dynamic programming strategies on the decision tree hidden behind the optimizing problems
Informatics in education
Inter-autonomous system provisioning for end-to-end bandwidth guarantees
Computer Communications
A memetic algorithm for the job-shop with time-lags
Computers and Operations Research
The material allocation problem in the steel industry
IBM Journal of Research and Development - Business optimization
Sorter based permutation units for media-enhanced microprocessors
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A BIST TPG for low power dissipation and high fault coverage
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A simplified derivation of timing complexity lower bounds for sorting by comparisons
Nordic Journal of Computing
Seeking stable clusters in the blogosphere
VLDB '07 Proceedings of the 33rd international conference on Very large data bases
Optimal bus sequencing for escape routing in dense PCBs
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Untangling twisted nets for bus routing
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Adaptive sampling for geometric problems over data streams
Computational Geometry: Theory and Applications
Efficient construction of network topology to conserve energy in wireless ad hoc networks
Computer Communications
Imperative self-adjusting computation
Proceedings of the 35th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Randomized minimum spanning tree algorithms using exponentially fewer random bits
ACM Transactions on Algorithms (TALG)
Dynamic scratchpad memory management for code in portable systems with an MMU
ACM Transactions on Embedded Computing Systems (TECS)
Parallel merging with restriction
The Journal of Supercomputing
Authority-based keyword search in databases
ACM Transactions on Database Systems (TODS)
A Service-Centric Multicast Architecture and Routing Protocol
IEEE Transactions on Parallel and Distributed Systems
Proximity queries in large traffic networks
Proceedings of the 15th annual ACM international symposium on Advances in geographic information systems
Performance model for IEEE 802.11s wireless mesh network deployment design
Journal of Parallel and Distributed Computing
Mean shift spectral clustering
Pattern Recognition
Communication optimizations for global multi-threaded instruction scheduling
Proceedings of the 13th international conference on Architectural support for programming languages and operating systems
Imperative LF Meta-Programming
Electronic Notes in Theoretical Computer Science (ENTCS)
A near-linear time algorithm for computing replacement paths in planar directed graphs
Proceedings of the nineteenth annual ACM-SIAM symposium on Discrete algorithms
Fully dynamic algorithm for graph spanners with poly-logarithmic update time
Proceedings of the nineteenth annual ACM-SIAM symposium on Discrete algorithms
Buffer optimization in multitask implementations of Simulink models
ACM Transactions on Embedded Computing Systems (TECS)
Improved Distributed Algorithms for SCC Decomposition
Electronic Notes in Theoretical Computer Science (ENTCS)
Theoretical Computer Science
An implementation for stability in hybrid systems
ISTASC'07 Proceedings of the 7th Conference on 7th WSEAS International Conference on Systems Theory and Scientific Computation - Volume 7
Optimal solution to matrix parenthesization problem employing parallel processing approach
EC'07 Proceedings of the 8th Conference on 8th WSEAS International Conference on Evolutionary Computing - Volume 8
Logic programming with satisfiability
Theory and Practice of Logic Programming
Finding time-dependent shortest paths over large graphs
EDBT '08 Proceedings of the 11th international conference on Extending database technology: Advances in database technology
Cost-based query optimization for complex pattern mining on multiple databases
EDBT '08 Proceedings of the 11th international conference on Extending database technology: Advances in database technology
The pitfalls of verifying floating-point computations
ACM Transactions on Programming Languages and Systems (TOPLAS)
An O(nlogn) edge-based algorithm for obstacle-avoiding rectilinear steiner tree construction
Proceedings of the 2008 international symposium on Physical design
Mobile robot path-planning implementation in software and hardware
ISPRA'07 Proceedings of the 6th WSEAS International Conference on Signal Processing, Robotics and Automation
A topological order for a rectangular covering problem
ICCOMP'07 Proceedings of the 11th WSEAS International Conference on Computers
Mobile robot path-planning implementation in software and hardware
ISPRA'07 Proceedings of the 6th WSEAS International Conference on Signal Processing, Robotics and Automation
A unified framework for protecting sensitive association rules in business collaboration
International Journal of Business Intelligence and Data Mining
International Journal of Bioinformatics Research and Applications
Fast parallel bio-molecular solutions: the set-basis problem
International Journal of Computational Science and Engineering
A new strategy for multiprocessor scheduling of cyclic task graphs
International Journal of High Performance Computing and Networking
Proceedings of the 2007 Summer Computer Simulation Conference
A novel tree-based broadcast algorithm for wireless ad hoc networks
International Journal of Wireless and Mobile Computing
Optimising uplink scheduling in an integrated 3G/WLAN network
International Journal of Wireless and Mobile Computing
Composition and evaluation of trustworthy web services
International Journal of Web and Grid Services
An improved heuristic for permutation flowshop scheduling
International Journal of Information and Communication Technology
Using sticker to solve the 3-dimensional matching problem in molecular supercomputers
International Journal of High Performance Computing and Networking
An environment for the rapid development of embedded file systems
Proceedings of the 2008 ACM symposium on Applied computing
Privacy-preserving link discovery
Proceedings of the 2008 ACM symposium on Applied computing
Should one always use repeated squaring for modular exponentiation?
Information Processing Letters
Finding large 3-free sets I: The small n case
Journal of Computer and System Sciences
Optimised coupling of hierarchies in image registration
Image and Vision Computing
AI Communications - Constraint Programming for Planning and Scheduling
Analysis of Approximate Petri Nets by Means of Occurrence Graphs
Fundamenta Informaticae - Special Issue on Concurrency Specification and Programming (CS&P)
Efficient tree topology for FPGA interconnect network
Proceedings of the 18th ACM Great Lakes symposium on VLSI
Locating mobile agents in a wide distributed system: a dynamic approach
MMACTE'05 Proceedings of the 7th WSEAS International Conference on Mathematical Methods and Computational Techniques In Electrical Engineering
A Generalization of the Assignment Problem, and its Application to the Rank Aggregation Problem
Fundamenta Informaticae
Some Varieties of Finite Tree Automata Related to Restricted Temporal Logics
Fundamenta Informaticae
Partitioning parameterized 45-degree polygons with constraint programming
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Deryaft: a tool for generating representation invariants of structurally complex data
Proceedings of the 30th international conference on Software engineering
Case study of gate-level logic simulation on an extremely fine-grained chip multiprocessor
Journal of Embedded Computing - Issues in embedded single-chip multicore architectures
Generating Minimum Transitivity Constraints in P-time for Deciding Equality Logic
Electronic Notes in Theoretical Computer Science (ENTCS)
Exact GPS simulation and optimal fair scheduling with logarithmic complexity
IEEE/ACM Transactions on Networking (TON)
Search space pruning techniques in ATPG for VLSI circuits
ICC'05 Proceedings of the 9th International Conference on Circuits
Process Maintenance Through Component-Process Replacement
Journal of Integrated Design & Process Science
Coverage and latency aware actor placement mechanisms in WSANs
International Journal of Sensor Networks
Quantitative information flow as network flow capacity
Proceedings of the 2008 ACM SIGPLAN conference on Programming language design and implementation
Towards identity anonymization on graphs
Proceedings of the 2008 ACM SIGMOD international conference on Management of data
Efficiently answering reachability queries on very large directed graphs
Proceedings of the 2008 ACM SIGMOD international conference on Management of data
Grouping and optimization of XPath expressions in DB2® pureXML
Proceedings of the 2008 ACM SIGMOD international conference on Management of data
Efficient signature based malware detection on mobile devices
Mobile Information Systems
FAB-MAP: Probabilistic Localization and Mapping in the Space of Appearance
International Journal of Robotics Research
Fundamenta Informaticae
Mining for offender group detection and story of a police operation
AusDM '07 Proceedings of the sixth Australasian conference on Data mining and analytics - Volume 70
An efficient hash-based algorithm for minimal k-anonymity
ACSC '08 Proceedings of the thirty-first Australasian conference on Computer science - Volume 74
AreWeThereYet?: a temporally aware media player
AUIC '08 Proceedings of the ninth conference on Australasian user interface - Volume 76
Energy-optimal distributed algorithms for minimum spanning trees
Proceedings of the twentieth annual symposium on Parallelism in algorithms and architectures
A general approach to securely querying XML
Computer Standards & Interfaces
Microprocessors & Microsystems
Size functions for comparing 3D models
Pattern Recognition
The optimal sequenced route query
The VLDB Journal — The International Journal on Very Large Data Bases
Computation of distances for regular and context-free probabilistic languages
Theoretical Computer Science
Algorithms for computing variants of the longest common subsequence problem
Theoretical Computer Science
Predictive real-time perceptual compression based on eye-gaze-position analysis
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
Efficient Maintenance of Continuous Queries for Trajectories
Geoinformatica
Efficient solving of structural constraints
ISSTA '08 Proceedings of the 2008 international symposium on Software testing and analysis
Algorithms for updating Huffman codes
MATH'05 Proceedings of the 7th WSEAS International Conference on Applied Mathematics
Optimal routing algorithms for rectilinear pin clusters in high-density multichip modules
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Fast multiplication of matrices over a finitely generated semiring
Information Processing Letters
A linear approximation method for the Shapley value
Artificial Intelligence
On the building of affine retractions
Mathematical Structures in Computer Science
Theoretical advances in artificial immune systems
Theoretical Computer Science
Proceedings of the twenty-seventh ACM symposium on Principles of distributed computing
Banded structure in binary matrices
Proceedings of the 14th ACM SIGKDD international conference on Knowledge discovery and data mining
Coalition game-based distributed coverage of unknown environments by robot swarms
Proceedings of the 7th international joint conference on Autonomous agents and multiagent systems - Volume 3
Towards bidirectional distributed matchmaking
Proceedings of the 7th international joint conference on Autonomous agents and multiagent systems - Volume 3
Approximating the buffer allocation problem using epochs
Journal of Parallel and Distributed Computing
Extracting updating aspects from version differences
Proceedings of the 2008 AOSD workshop on Linking aspect technology and evolution
Data-flow testing of declarative programs
Proceedings of the 13th ACM SIGPLAN international conference on Functional programming
Problems in the ontology of computer programs
Applied Ontology
Finding a minimum medial axis of a discrete shape is NP-hard
Theoretical Computer Science
Fast parallel GPU-sorting using a hybrid algorithm
Journal of Parallel and Distributed Computing
Image and Vision Computing
Average misbelief criterion in the minimal fuzzy covering problem
FS'08 Proceedings of the 9th WSEAS International Conference on Fuzzy Systems
Fast Approximate Point Set Matching for Information Retrieval
SOFSEM '07 Proceedings of the 33rd conference on Current Trends in Theory and Practice of Computer Science
Matrics, a Car License Plate Recognition System
IbPRIA '07 Proceedings of the 3rd Iberian conference on Pattern Recognition and Image Analysis, Part I
The "Not-Too-Heavy Spanning Tree" Constraint
CPAIOR '07 Proceedings of the 4th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
LFCS '07 Proceedings of the international symposium on Logical Foundations of Computer Science
Trip Around the HMPerceptron Algorithm: Empirical Findings and Theoretical Tenets
AI*IA '07 Proceedings of the 10th Congress of the Italian Association for Artificial Intelligence on AI*IA 2007: Artificial Intelligence and Human-Oriented Computing
Heuristics for Planning with Action Costs
Current Topics in Artificial Intelligence
Constraint Prioritization for Efficient Analysis of Declarative Models
FM '08 Proceedings of the 15th international symposium on Formal Methods
A Black Box for Online Approximate Pattern Matching
CPM '08 Proceedings of the 19th annual symposium on Combinatorial Pattern Matching
Maintaining Consistent Transactional States without a Global Clock
SIROCCO '08 Proceedings of the 15th international colloquium on Structural Information and Communication Complexity
Hierarchical Graph Embedding for Efficient Query Processing in Very Large Traffic Networks
SSDBM '08 Proceedings of the 20th international conference on Scientific and Statistical Database Management
Scalable Ubiquitous Data Access in Clustered Sensor Networks
SSDBM '08 Proceedings of the 20th international conference on Scientific and Statistical Database Management
Lazy Contract Checking for Immutable Data Structures
Implementation and Application of Functional Languages
VIPER, a Student-Friendly Visual Interpreter of Pascal
ISSEP '08 Proceedings of the 3rd international conference on Informatics in Secondary Schools - Evolution and Perspectives: Informatics Education - Supporting Computational Thinking
When the Spatial Networks Split?
ICCS '08 Proceedings of the 8th international conference on Computational Science, Part II
Spectral Properties of Adjacency and Distance Matrices for Various Networks
ICCS '08 Proceedings of the 8th international conference on Computational Science, Part II
Using Metaheuristics in a Parallel Computing Course
ICCS '08 Proceedings of the 8th international conference on Computational Science, Part II
ACISP '08 Proceedings of the 13th Australasian conference on Information Security and Privacy
A Preemptive Algorithm for Maximizing Disjoint Paths on Trees
SWAT '08 Proceedings of the 11th Scandinavian workshop on Algorithm Theory
On Trade-Offs in External-Memory Diameter-Approximation
SWAT '08 Proceedings of the 11th Scandinavian workshop on Algorithm Theory
Antichain-Based Universality and Inclusion Testing over Nondeterministic Finite Tree Automata
CIAA '08 Proceedings of the 13th international conference on Implementation and Applications of Automata
Personalizing the Selection of Digital Library Resources to Support Intentional Learning
ECDL '08 Proceedings of the 12th European conference on Research and Advanced Technology for Digital Libraries
Solving a Telecommunications Feature Subscription Configuration Problem
CP '08 Proceedings of the 14th international conference on Principles and Practice of Constraint Programming
A Framework for Hybrid Tractability Results in Boolean Weighted Constraint Satisfaction Problems
CP '08 Proceedings of the 14th international conference on Principles and Practice of Constraint Programming
Network Applications of Graph Bisimulation
ICGT '08 Proceedings of the 4th international conference on Graph Transformations
Autonomous Scheduling with Unbounded and Bounded Agents
MATES '08 Proceedings of the 6th German conference on Multiagent System Technologies
One-dimensional partitioning for heterogeneous systems: Theory and practice
Journal of Parallel and Distributed Computing
Note: An improved algorithm for the longest induced path problem on k-chordal graphs
Discrete Applied Mathematics
Distributed weighted vertex cover via maximal matchings
ACM Transactions on Algorithms (TALG)
Enforcing object protocols by combining static and runtime analysis
Proceedings of the 23rd ACM SIGPLAN conference on Object-oriented programming systems languages and applications
INFORMATION-THEORETIC CONCEPTS FOR THE ANALYSIS OF COMPLEX NETWORKS
Applied Artificial Intelligence
Context-aware clustering of DNS query traffic
Proceedings of the 8th ACM SIGCOMM conference on Internet measurement
Combinatorial optimization under uncertainty
Cybernetics and Systems Analysis
Scalable ranked publish/subscribe
Proceedings of the VLDB Endowment
Downlink mixed-traffic scheduling with packet division multiplexing
Proceedings of the 3nd ACM workshop on Performance monitoring and measurement of heterogeneous wireless and wired networks
Provably efficient algorithms for resolving temporal and spatial difference constraint violations
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Vigilante: End-to-end containment of Internet worm epidemics
ACM Transactions on Computer Systems (TOCS)
Exploring and exploiting the structure of saddle points in Gaussian scale space
Computer Vision and Image Understanding
Rerouting in advance for preempted IR calls in QoS-enabled networks
Computer Communications
Verifying average dwell time of hybrid systems
ACM Transactions on Embedded Computing Systems (TECS)
Throughput-driven synthesis of embedded software for pipelined execution on multicore architectures
ACM Transactions on Embedded Computing Systems (TECS)
Three-dimensional Integrated Circuit Design
Three-dimensional Integrated Circuit Design
Study on the combination of video concept detectors
MM '08 Proceedings of the 16th ACM international conference on Multimedia
Optimization issues in inverted index-based entity annotation
Proceedings of the 3rd international conference on Scalable information systems
Resource overbooking and application profiling in a shared Internet hosting platform
ACM Transactions on Internet Technology (TOIT)
An adaptive threshold framework for event detection using HMM-based life profiles
ACM Transactions on Information Systems (TOIS)
How to Write Fast Numerical Code: A Small Introduction
Generative and Transformational Techniques in Software Engineering II
Intelligent robustness insertion for optimal transient error tolerance improvement in VLSI circuits
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Synchronization functions of synchronized context-free grammars and languages
Journal of Automata, Languages and Combinatorics
Generalised arc consistency for the AllDifferent constraint: An empirical survey
Artificial Intelligence
Periodic schedules for linear precedence constraints
Discrete Applied Mathematics
Development of a configuration space motion planner for robot in dynamic environment
Robotics and Computer-Integrated Manufacturing
Multi-query optimization for sketch-based estimation
Information Systems
Path selection in multi-layer networks
Computer Communications
Algorithms for deterministic incremental dependency parsing
Computational Linguistics
Advanced Engineering Informatics
A complex network approach to text summarization
Information Sciences: an International Journal
Complexity metrics for Workflow nets
Information and Software Technology
Reduction in CS: A (Mostly) Quantitative Analysis of Reductive Solutions to Algorithmic Problems
Journal on Educational Resources in Computing (JERIC)
Network Stability Analysis Techniques Using the Virtual Articulation Node
APNOMS '08 Proceedings of the 11th Asia-Pacific Symposium on Network Operations and Management: Challenges for Next Generation Network Operations and Service Management
SPIRE '08 Proceedings of the 15th International Symposium on String Processing and Information Retrieval
Approximated Pattern Matching with the L1 , L2 and L∞ Metrics
SPIRE '08 Proceedings of the 15th International Symposium on String Processing and Information Retrieval
A plan of lauding the boxes for a three dimensional bin packing model
WSEAS TRANSACTIONS on SYSTEMS
Computational Complexity of Simple P Systems
Fundamenta Informaticae
Solving SUBSET SUM by Spiking Neural P Systems with Pre-computed Resources
Fundamenta Informaticae
Algorithmic Approach to Devaney Chaos in Shift Spaces
Fundamenta Informaticae
From coding theory to efficient pattern matching
SODA '09 Proceedings of the twentieth Annual ACM-SIAM Symposium on Discrete Algorithms
SODA: an optimizing scheduler for large-scale stream-based distributed computer systems
Proceedings of the 9th ACM/IFIP/USENIX International Conference on Middleware
Reducing fault dictionary size for million-gate large circuits
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Graphical Models, Exponential Families, and Variational Inference
Foundations and Trends® in Machine Learning
Computing the fault tolerance of multi-agent deployment
Artificial Intelligence
Query rewriting for detection of privacy violation through inferencing
Proceedings of the 2006 International Conference on Privacy, Security and Trust: Bridge the Gap Between PST Technologies and Business Services
fP2P-HN: A P2P-based route optimization architecture for mobile IP-based community networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Techniques for efficient placement of synchronization primitives
Proceedings of the 14th ACM SIGPLAN symposium on Principles and practice of parallel programming
Time-Aggregated Graphs for Modeling Spatio-temporal Networks
Journal on Data Semantics XI
Novel Approaches for Exclusive and Continuous Fingerprint Classification
PSIVT '09 Proceedings of the 3rd Pacific Rim Symposium on Advances in Image and Video Technology
Approximating Shortest Paths in Graphs
WALCOM '09 Proceedings of the 3rd International Workshop on Algorithms and Computation
Natural Computing: an international journal
On the use of negation in Boolean IR queries
Information Processing and Management: an International Journal
Has the paradigm shift in CS1 a harmful effect on data structures courses: a case study
Proceedings of the 40th ACM technical symposium on Computer science education
Thermal-aware floorplanning for task migration enabled active sub-threshold leakage reduction
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
IO connection assignment and RDL routing for flip-chip designs
Proceedings of the 2009 Asia and South Pacific Design Automation Conference
Hypergraph decomposition and secret sharing
Discrete Applied Mathematics
Scheduling ?-Critical Tasks in mixed-parallel applications on a national grid
GRID '07 Proceedings of the 8th IEEE/ACM International Conference on Grid Computing
A topological order for a rectangular three dimensional bin packing problem
ICCOMP'08 Proceedings of the 12th WSEAS international conference on Computers
The observable part of a network
IEEE/ACM Transactions on Networking (TON)
MNCM: a critical node matching approach to scheduling for input buffered switches with no speedup
IEEE/ACM Transactions on Networking (TON)
Overcoming controllability problems with fewest channels between testers
Computer Networks: The International Journal of Computer and Telecommunications Networking
Proceedings of the 12th International Conference on Database Theory
Construction in a Simulated Environment Using Temporal Goal Sequencing and Reinforcement Learning
Adaptive Behavior - Animals, Animats, Software Agents, Robots, Adaptive Systems
Two-phase fine-grain sleep transistor insertion technique in leakage critical circuits
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
An anonymous communication model for privacy-enhanced location based service using an echo agent
Proceedings of the 3rd International Conference on Ubiquitous Information Management and Communication
Parsimonious temporal aggregation
Proceedings of the 12th International Conference on Extending Database Technology: Advances in Database Technology
Minimum spanning tree based one-class classifier
Neurocomputing
PhotoScope: visualizing spatiotemporal coverage of photos for construction management
Proceedings of the SIGCHI Conference on Human Factors in Computing Systems
Efficient approximation algorithms for shortest cycles in undirected graphs
Information Processing Letters
Some methods for evaluating the optimality of elements in matroids with ill-known weights
Fuzzy Sets and Systems
Analyzing scheduling with transient failures
Information Processing Letters
IEICE - Transactions on Information and Systems
Risk-Sensitive Learning via Minimization of Empirical Conditional Value-at-Risk
IEICE - Transactions on Information and Systems
A Simple Algorithm for Transposition-Invariant Amplified (δ, γ)-Matching
IEICE - Transactions on Information and Systems
Using a minimal fuzzy covering in decision-making problems
Information Sciences: an International Journal
Multicast video-on-demand service in an enterprise network with client-assisted patching
Multimedia Tools and Applications
Discrete Event Dynamic Systems
The giving tree: constructing trees for efficient offline and online multi-robot coverage
Annals of Mathematics and Artificial Intelligence
Solving the flow shop problem by parallel programming
Journal of Parallel and Distributed Computing
Fault tolerant target tracking in sensor networks
Proceedings of the tenth ACM international symposium on Mobile ad hoc networking and computing
Collision-free path coloring with application to minimum-delay gathering in sensor networks
Discrete Applied Mathematics
Boolean circuit programming: A new paradigm to design parallel algorithms
Journal of Discrete Algorithms
RDL pre-assignment routing for flip-chip designs
Proceedings of the 19th ACM Great Lakes symposium on VLSI
EUROCRYPT '09 Proceedings of the 28th Annual International Conference on Advances in Cryptology: the Theory and Applications of Cryptographic Techniques
Efficient Decision Procedure for Bounded Integer Non-linear Operations Using SMT($\mathcal{LIA}$)
HVC '08 Proceedings of the 4th International Haifa Verification Conference on Hardware and Software: Verification and Testing
Efficient Privacy-Preserving Link Discovery
PAKDD '09 Proceedings of the 13th Pacific-Asia Conference on Advances in Knowledge Discovery and Data Mining
Efficient data gathering in partially connected and delay-tolerant wireless sensor networks
Proceedings of the 5th International ICST Conference on Heterogeneous Networking for Quality, Reliability, Security and Robustness
QoS based scheduling in the downlink of multiuser wireless systems
Proceedings of the 5th International ICST Conference on Heterogeneous Networking for Quality, Reliability, Security and Robustness
GPS-enabled mobiles for learning shortest paths: a pilot study
Proceedings of the 4th International Conference on Foundations of Digital Games
Classification of peptide mass fingerprint data by novel no-regret boosting method
Computers in Biology and Medicine
Solving the subset-sum problem with a light-based device
Natural Computing: an international journal
Novel moving target search algorithms for computer gaming
Computers in Entertainment (CIE) - SPECIAL ISSUE: Media Arts and Games (Part II)
Object segmentation in video via graph cut built on superpixels
Fundamenta Informaticae - Cognitive Informatics, Cognitive Computing, and Their Denotational Mathematical Foundations (II)
On neighbor discovery in cognitive radio networks
Journal of Parallel and Distributed Computing
Computing branchwidth via efficient triangulations and blocks
Discrete Applied Mathematics
Clustering for processing rate optimization
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Optimization scheduling of MPEG-4 FGS video coding stream under the feasible mandatory constraint
Multimedia Tools and Applications
ACM Transactions on Computational Logic (TOCL)
SQLi: towards an interface description language for relational databases
Proceedings of the Working Conference on Advanced Visual Interfaces
QoS based scheduling in the downlink of multi-user wireless systems (extended)
Computer Communications
Constant-factor approximation algorithms for identifying dynamic communities
Proceedings of the 15th ACM SIGKDD international conference on Knowledge discovery and data mining
Real-time correction of closed-captions
ACL '07 Proceedings of the 45th Annual Meeting of the ACL on Interactive Poster and Demonstration Sessions
Proceedings of The 8th International Conference on Autonomous Agents and Multiagent Systems - Volume 1
Sybil-resilient online content voting
NSDI'09 Proceedings of the 6th USENIX symposium on Networked systems design and implementation
Dependency-aware reordering for parallelizing query optimization in multi-core CPUs
Proceedings of the 2009 ACM SIGMOD International Conference on Management of data
Power-delay optimization in VLSI microprocessors by wire spacing
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Active learning of greedy algorithms by means of interactive experimentation
ITiCSE '09 Proceedings of the 14th annual ACM SIGCSE conference on Innovation and technology in computer science education
Assembling approximately optimal binary search trees efficiently using arithmetics
Information Processing Letters
Reconfigurable Computing: The Theory and Practice of FPGA-Based Computation
Reconfigurable Computing: The Theory and Practice of FPGA-Based Computation
FOOD: An Intermediate Model for Automated Refactoring
Proceedings of the 2006 conference on New Trends in Software Methodologies, Tools and Techniques: Proceedings of the fifth SoMeT_06
Automated Pressure Ulcer Lesion Diagnosis: An Initial Study
Proceedings of the 2007 conference on Emerging Artificial Intelligence Applications in Computer Engineering: Real Word AI Systems with Applications in eHealth, HCI, Information Retrieval and Pervasive Technologies
Strengthening Admissible Coalitions
Proceedings of the 2006 conference on ECAI 2006: 17th European Conference on Artificial Intelligence August 29 -- September 1, 2006, Riva del Garda, Italy
A BDD Approach to the Feature Subscription Problem
Proceedings of the 2008 conference on ECAI 2008: 18th European Conference on Artificial Intelligence
Performance of Bulk Data Dissemination in Wireless Sensor Networks
DCOSS '09 Proceedings of the 5th IEEE International Conference on Distributed Computing in Sensor Systems
Investigating and exploiting the bias of the weighted hypervolume to articulate user preferences
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
On the synchronized derivation depth of context-free grammars
Theoretical Computer Science
Abstraction of 2D shapes in terms of parts
Proceedings of the 7th International Symposium on Non-Photorealistic Animation and Rendering
IWCC '09 Proceedings of the 2nd International Workshop on Coding and Cryptology
A Formalization of Powerlist Algebra in ACL2
Journal of Automated Reasoning
Shortest Path and Maximum Flow Problems in Networks with Additive Losses and Gains
FAW '09 Proceedings of the 3d International Workshop on Frontiers in Algorithmics
FAW '09 Proceedings of the 3d International Workshop on Frontiers in Algorithmics
Design and Engineering of External Memory Traversal Algorithms for General Graphs
Algorithmics of Large and Complex Networks
What the parallel-processing community has (failed) to offer the multi/many-core generation
Journal of Parallel and Distributed Computing
Minimizing Energy Consumption for Precedence-Constrained Applications Using Dynamic Voltage Scaling
CCGRID '09 Proceedings of the 2009 9th IEEE/ACM International Symposium on Cluster Computing and the Grid
Proceedings of the 2nd International Conference on PErvasive Technologies Related to Assistive Environments
Distributed routing schemes for multi-hop fixed relay networks
Proceedings of the 2009 International Conference on Wireless Communications and Mobile Computing: Connecting the World Wirelessly
Dynamic atomic storage without consensus
Proceedings of the 28th ACM symposium on Principles of distributed computing
Reducers and other Cilk++ hyperobjects
Proceedings of the twenty-first annual symposium on Parallelism in algorithms and architectures
Proceedings of the twenty-first annual symposium on Parallelism in algorithms and architectures
A survey of attack and defense techniques for reputation systems
ACM Computing Surveys (CSUR)
A space efficient algorithm for the constrained heaviest common subsequence problem
Proceedings of the 46th Annual Southeast Regional Conference on XX
Induction as a tool for conceptual coherence in computer science
Proceedings of the 46th Annual Southeast Regional Conference on XX
Using an edge-dual graph and k-connectivity to identify strong connections in social networks
Proceedings of the 46th Annual Southeast Regional Conference on XX
Power management in energy harvesting embedded systems with discrete service levels
Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design
Improved directed acyclic graph evaluation and the combine operator in genetic programming
GECCO '96 Proceedings of the 1st annual conference on Genetic and evolutionary computation
ESC4: a modern caching ESC for Java
Proceedings of the 8th international workshop on Specification and verification of component-based systems
Adaptable component frameworks: using vector from the C++ standard library as an example
Proceedings of the 2009 ACM SIGPLAN workshop on Generic programming
A binary variable model for affinity propagation
Neural Computation
Approximation algorithms for data placement on parallel disks
ACM Transactions on Algorithms (TALG)
A linear algorithm for computing convex hulls for random lines
ACM Transactions on Algorithms (TALG)
Randomized fast design of short DNA words
ACM Transactions on Algorithms (TALG)
AAAI'04 Proceedings of the 19th national conference on Artifical intelligence
Combinatorial auctions with structured item graphs
AAAI'04 Proceedings of the 19th national conference on Artifical intelligence
Overlapping coalition formation for efficient data fusion in multi-sensor networks
AAAI'06 Proceedings of the 21st national conference on Artificial intelligence - Volume 1
Constant false alarm rate detection of saccadic eye movements in electro-oculography
Computer Methods and Programs in Biomedicine
Computational Geometry: Theory and Applications
A performance study of grid workflow engines
GRID '08 Proceedings of the 2008 9th IEEE/ACM International Conference on Grid Computing
A declarative encoding of telecommunications feature subscription in SAT
PPDP '09 Proceedings of the 11th ACM SIGPLAN conference on Principles and practice of declarative programming
Reliability aware yield improvement technique for nanotechnology based circuits
Proceedings of the 22nd Annual Symposium on Integrated Circuits and System Design: Chip on the Dunes
Efficient Clustering of Web-Derived Data Sets
MLDM '09 Proceedings of the 6th International Conference on Machine Learning and Data Mining in Pattern Recognition
Rank-Sensitive Priority Queues
WADS '09 Proceedings of the 11th International Symposium on Algorithms and Data Structures
Partial parse selection for robust deep processing
DeepLP '07 Proceedings of the Workshop on Deep Linguistic Processing
Translation as weighted deduction
EACL '09 Proceedings of the 12th Conference of the European Chapter of the Association for Computational Linguistics
Using cycles and quasi-cycles to disambiguate dictionary glosses
EACL '09 Proceedings of the 12th Conference of the European Chapter of the Association for Computational Linguistics
A generalized vector space model for text retrieval based on semantic relatedness
EACL '09 Proceedings of the 12th Conference of the European Chapter of the Association for Computational Linguistics: Student Research Workshop
Parameterized graph cleaning problems
Discrete Applied Mathematics
EMNLP '06 Proceedings of the 2006 Conference on Empirical Methods in Natural Language Processing
BestCut: a graph algorithm for coreference resolution
EMNLP '06 Proceedings of the 2006 Conference on Empirical Methods in Natural Language Processing
Treatment of ε-moves in subset construction
FSMNLP '09 Proceedings of the International Workshop on Finite State Methods in Natural Language Processing
Reachability in Succinct and Parametric One-Counter Automata
CONCUR 2009 Proceedings of the 20th International Conference on Concurrency Theory
Adaptive synchronization of a network of interconnected nonlinear Lur'e systems
Automation and Remote Control
A novel heuristic for multi-objective optimization of analog circuit performances
Analog Integrated Circuits and Signal Processing
Foundations of r-contiguous matching in negative selection for anomaly detection
Natural Computing: an international journal
Computers & Mathematics with Applications
Boundaries as Contours of Optimal Appearance and Area of Support
EMMCVPR '09 Proceedings of the 7th International Conference on Energy Minimization Methods in Computer Vision and Pattern Recognition
On the Training Patterns Pruning for Optimum-Path Forest
ICIAP '09 Proceedings of the 15th International Conference on Image Analysis and Processing
Computational Linguistics
Packet pacing in small buffer optical packet switched networks
IEEE/ACM Transactions on Networking (TON)
Maximum weight bipartite matching in matrix multiplication time
Theoretical Computer Science
Team member reallocation via tree pruning
AAAI'05 Proceedings of the 20th national conference on Artificial intelligence - Volume 1
Temporal dynamic controllability revisited
AAAI'05 Proceedings of the 20th national conference on Artificial intelligence - Volume 3
Heterogeneous multirobot coordination with spatial and temporal constraints
AAAI'05 Proceedings of the 20th national conference on Artificial intelligence - Volume 3
Cost-algebraic heuristic search
AAAI'05 Proceedings of the 20th national conference on Artificial intelligence - Volume 3
AAAI'07 Proceedings of the 22nd national conference on Artificial intelligence - Volume 1
Learning language semantics from ambiguous supervision
AAAI'07 Proceedings of the 22nd national conference on Artificial intelligence - Volume 1
Concurrent action execution with shared fluents
AAAI'07 Proceedings of the 22nd national conference on Artificial intelligence - Volume 2
A computational analysis of the tournament equilibrium set
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 1
Personalisation of telecommunications services as combinatorial optimisation
IAAI'08 Proceedings of the 20th national conference on Innovative applications of artificial intelligence - Volume 3
Markov blanket feature selection for support vector machines
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 2
The Double Digest Problem: finding all solutions
International Journal of Bioinformatics Research and Applications
Subjectivity recognition on word senses via semi-supervised mincuts
NAACL '09 Proceedings of Human Language Technologies: The 2009 Annual Conference of the North American Chapter of the Association for Computational Linguistics
On the complexity of non-projective data-driven dependency parsing
IWPT '07 Proceedings of the 10th International Conference on Parsing Technologies
On finding a solution in temporal constraint satisfaction problems
IJCAI'97 Proceedings of the Fifteenth international joint conference on Artifical intelligence - Volume 2
Taming numbers and durations in the model checking integrated planning system
Journal of Artificial Intelligence Research
Journal of Artificial Intelligence Research
PHA*: finding the shortest path with A* in an unknown physical environment
Journal of Artificial Intelligence Research
mGPT: a probabilistic planner based on heuristic search
Journal of Artificial Intelligence Research
Multi-issue negotiation with deadlines
Journal of Artificial Intelligence Research
Understanding algorithm performance on an oversubscribed scheduling application
Journal of Artificial Intelligence Research
The complexity of planning problems with simple causal graphs
Journal of Artificial Intelligence Research
Global inference for sentence compression an integer linear programming approach
Journal of Artificial Intelligence Research
First order decision diagrams for relational MDPs
Journal of Artificial Intelligence Research
New Islands of tractability of cost-optimal planning
Journal of Artificial Intelligence Research
Unsupervised methods for determining object and relation synonyms on the web
Journal of Artificial Intelligence Research
The divide-and-conquer subgoal-ordering algorithm for speeding up logic inference
Journal of Artificial Intelligence Research
Parameter learning of logic programs for symbolic-statistical modeling
Journal of Artificial Intelligence Research
Order of magnitude comparisons of distance
Journal of Artificial Intelligence Research
Efficient temporal reasoning through timegraphs
IJCAI'93 Proceedings of the 13th international joint conference on Artifical intelligence - Volume 1
Managing temporal uncertainty through waypoint controllability
IJCAI'99 Proceedings of the 16th international joint conference on Artificial intelligence - Volume 2
Winner determination in sequential majority voting
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
The fringe-saving A* search algorithm: a feasibility study
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
Improving activity discovery with automatic neighborhood estimation
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
Task allocation via coalition formation among autonomous agents
IJCAI'95 Proceedings of the 14th international joint conference on Artificial intelligence - Volume 1
Factorization of synchronous context-free grammars in linear time
SSST '07 Proceedings of the NAACL-HLT 2007/AMTA Workshop on Syntax and Structure in Statistical Translation
NICT@WMT09: model adaptation and transliteration for Spanish-English SMT
StatMT '09 Proceedings of the Fourth Workshop on Statistical Machine Translation
The determination of the guillotine restrictions for a rectangular covering model
ICCOMP'09 Proceedings of the WSEAES 13th international conference on Computers
Word n-grams for cluster keyboards
TextEntry '03 Proceedings of the 2003 EACL Workshop on Language Modeling for Text Entry Methods
Generating realistic impressions for file-system benchmarking
ACM Transactions on Storage (TOS)
Detecting palindromes, patterns and borders in regular languages
Information and Computation
Algebra of programming in agda: Dependent types for relational program derivation
Journal of Functional Programming
Probabilistically survivable MASs
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
Incremental tractable reasoning about qualitative temporal constraints
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
Tractable Pareto optimization of temporal preferences
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
Consistent query answers in the presence of universal constraints
Information Systems
Fast adaptive shape matching deformations
Proceedings of the 2008 ACM SIGGRAPH/Eurographics Symposium on Computer Animation
An algorithm for the guillotine restrictions verification in a rectangular covering model
WSEAS Transactions on Computers
Minimizing dependencies within generic classes for faster and smaller programs
Proceedings of the 24th ACM SIGPLAN conference on Object oriented programming systems languages and applications
Complex question answering: unsupervised learning approaches and experiments
Journal of Artificial Intelligence Research
Automatic generation of Tamil lyrics for melodies
CALC '09 Proceedings of the Workshop on Computational Approaches to Linguistic Creativity
Temporal constraint reasoning with preferences
IJCAI'01 Proceedings of the 17th international joint conference on Artificial intelligence - Volume 1
Executing reactive, model-based programs through graph-based temporal planning
IJCAI'01 Proceedings of the 17th international joint conference on Artificial intelligence - Volume 1
Dynamic control of plans with temporal uncertainty
IJCAI'01 Proceedings of the 17th international joint conference on Artificial intelligence - Volume 1
Multi-agent influence diagrams for representing and solving games
IJCAI'01 Proceedings of the 17th international joint conference on Artificial intelligence - Volume 2
Methods for task allocation via agent coalition formation
Artificial Intelligence
Analysis and synthesis of controlled delay lines
Automation and Remote Control
Automated reformulation of specifications by safe delay of constraints
Artificial Intelligence
Scalability issues with using FSMWeb to test web applications
Information and Software Technology
Fast shortest path distance estimation in large networks
Proceedings of the 18th ACM conference on Information and knowledge management
Farthest-point queries with geometric and combinatorial constraints
Computational Geometry: Theory and Applications
E-Span and LPT for data aggregation in wireless sensor networks
Computer Communications
Finding multiple routing paths in wide-area WDM networks
Computer Communications
Finding a least hop(s) path subject to multiple additive constraints
Computer Communications
Availability of end-to-end ideal QoS in IP packet networks
Computer Communications
Conjugate network calculus: A dual approach applying the Legendre transform
Computer Networks: The International Journal of Computer and Telecommunications Networking - Selected papers from the 3rd international workshop on QoS in multiservice IP networks (QoS-IP 2005)
A new distributed QoS routing algorithm based on Fano's method
Computer Networks: The International Journal of Computer and Telecommunications Networking
On the optimization of storage capacity allocation for content distribution
Computer Networks: The International Journal of Computer and Telecommunications Networking
Efficiency of boundary evaluation for a cellular model
Computer-Aided Design
Differential evolution using a neighborhood-based mutation operator
IEEE Transactions on Evolutionary Computation
IEEE Communications Letters
Robust blind watermarking of point-sampled geometry
IEEE Transactions on Information Forensics and Security
High level synthesis of integrated heterogeneous pipelined processing elements for DSP applications
Computers and Electrical Engineering
Splitting meshless deforming objects with explicit surface tracking
Graphical Models
Consensus-based decentralized auctions for robusttask allocation
IEEE Transactions on Robotics
Discriminative wavelet packet filter bank selection for pattern recognition
IEEE Transactions on Signal Processing
Synergistic arc-weight estimation for interactive image segmentation using graphs
Computer Vision and Image Understanding
Discovery of optimal factors in binary data via a novel method of matrix decomposition
Journal of Computer and System Sciences
A maximum network lifetime QoS routing algorithm in MANETs
Proceedings of the 2nd International Conference on Interaction Sciences: Information Technology, Culture and Human
A concept in error correction of text editors: case study Thai-English set
Proceedings of the 2nd International Conference on Interaction Sciences: Information Technology, Culture and Human
Approximate nonmyopic sensor selection via submodularity and partitioning
IEEE Transactions on Systems, Man, and Cybernetics, Part A: Systems and Humans
M-M role-transfer problems and their solutions
IEEE Transactions on Systems, Man, and Cybernetics, Part A: Systems and Humans
Fast support vector machines for continuous data
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics - Special issue on cybernetics and cognitive informatics
A survey of evolutionary algorithms for clustering
IEEE Transactions on Systems, Man, and Cybernetics, Part C: Applications and Reviews
A divide-and-conquer strategy to deadlock prevention in flexible manufacturing systems
IEEE Transactions on Systems, Man, and Cybernetics, Part C: Applications and Reviews
IEEE Transactions on Systems, Man, and Cybernetics, Part C: Applications and Reviews
Timing-aware multiple-delay-fault diagnosis
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Detailed-routing algorithms for dense pin clusters in integrated circuits
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Spare cells with constant insertion for engineering change
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
On the Core and f-Nucleolus of Flow Games
Mathematics of Operations Research
IEEE Transactions on Wireless Communications
Opportunistic collaborative beamforming with one-bit feedback
IEEE Transactions on Wireless Communications
Hierarchical network architecture for layered video streaming
International Journal of Wireless and Mobile Computing
A framework for systematic synthesis of transactional middleware
Middleware '98 Proceedings of the IFIP International Conference on Distributed Systems Platforms and Open Distributed Processing
An efficient algorithm of Huffman decoder with nearly constant decoding time
CSS '07 Proceedings of the Fifth IASTED International Conference on Circuits, Signals and Systems
Selective Memoization with Box Types
Electronic Notes in Theoretical Computer Science (ENTCS)
Pattern matching with don't cares and few errors
Journal of Computer and System Sciences
Configuration Merging in Point-to-Point Networks for Module-Based FPGA Reconfiguration
ACM Transactions on Reconfigurable Technology and Systems (TRETS)
Thou shalt covet thy neighbor's cake
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Open contractible global constraints
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Trees of shortest paths vs. Steiner trees: understanding and improving delete relaxation heuristics
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Parameter identification in a class of linear structural equation models
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Genetic algorithms for task scheduling problem
Journal of Parallel and Distributed Computing
Binarization of synchronous context-free grammars
Computational Linguistics
Dependency structure matrix, genetic algorithms, and effective recombination
Evolutionary Computation
Computers and Operations Research - Articles presented at the conference on routing and location (CORAL)
Solving the Multidimensional Multiple-choice Knapsack Problem by constructing convex hulls
Computers and Operations Research
The capacitated centred clustering problem
Computers and Operations Research
Architecting a chunk-based memory race recorder in modern CMPs
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
Graph OLAP: a multi-dimensional framework for graph data analysis
Knowledge and Information Systems
On row-by-row coding for 2-D constraints
IEEE Transactions on Information Theory
Linear-programming decoding of nonbinary linear codes
IEEE Transactions on Information Theory
IEEE Transactions on Information Theory
Gate sizing by Lagrangian relaxation revisited
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Heuristic Reusable Dynamic Programming: Efficient Updates of Local Sequence Alignment
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
RWS'09 Proceedings of the 4th international conference on Radio and wireless symposium
Interference aware routing and scheduling in wireless backhaul networks with smart antennas
SECON'09 Proceedings of the 6th Annual IEEE communications society conference on Sensor, Mesh and Ad Hoc Communications and Networks
Framework for evaluating clustering algorithms in duplicate detection
Proceedings of the VLDB Endowment
FastWrap: an efficient wrapper for tabular data extraction from the web
IRI'09 Proceedings of the 10th IEEE international conference on Information Reuse & Integration
A distributed decision support system for building evacuation
HSI'09 Proceedings of the 2nd conference on Human System Interactions
Multi-objective Query Optimization Using Topic Ontologies
FQAS '09 Proceedings of the 8th International Conference on Flexible Query Answering Systems
A Novel Path-Based Clustering Algorithm Using Multi-dimensional Scaling
AI '09 Proceedings of the 22nd Australasian Joint Conference on Advances in Artificial Intelligence
A Unifying View of Contour Length Bias Correction
ISVC '09 Proceedings of the 5th International Symposium on Advances in Visual Computing: Part I
Impasse, Conflict, and Learning of CS Notions
ISSEP '10 Proceedings of the 4th International Conference on Informatics in Secondary Schools - Evolution and Perspectives: Teaching Fundamentals Concepts of Informatics
Optimal Randomized Algorithm for the Density Selection Problem
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
Authenticated error-correcting codes with applications to multicast authentication
ACM Transactions on Information and System Security (TISSEC)
Fast and accurate holistic face recognition using optimum-path forest
DSP'09 Proceedings of the 16th international conference on Digital Signal Processing
Fast interactive segmentation of natural images using the image foresting transform
DSP'09 Proceedings of the 16th international conference on Digital Signal Processing
Decision Support Systems - Special issue: Intelligence and security informatics
On the mapping between logical and physical topologies
COMSNETS'09 Proceedings of the First international conference on COMmunication Systems And NETworks
ACC'09 Proceedings of the 2009 conference on American Control Conference
Global formation-shape stabilization of relative sensing networks
ACC'09 Proceedings of the 2009 conference on American Control Conference
Complexity of checking the existence of a stabilizing decentralized controller
ACC'09 Proceedings of the 2009 conference on American Control Conference
Scheduling for finite time consensus
ACC'09 Proceedings of the 2009 conference on American Control Conference
CI-graph: an efficient approach for large scale SLAM
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
CI-graph: an efficient approach for large scale SLAM
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
Graph-based robust shape matching for robotic application
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
Experiments with a ZigBee wireless communication system for self-reconfiguring modular robots
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
Complexity of the directed spanning cactus problem
Discrete Applied Mathematics
Simultaneous fuzzy segmentation of multiple objects
Discrete Applied Mathematics - Special issue: IWCIA 2003 - Ninth international workshop on combinatorial image analysis
Linear-time modular decomposition of directed graphs
Discrete Applied Mathematics - Structural decompositions, width parameters, and graph labelings (DAS 5)
On sequential diagnosis of multiprocessor systems
Discrete Applied Mathematics
Communication: Level of repair analysis and minimum cost homomorphisms of graphs
Discrete Applied Mathematics
Discrete Applied Mathematics
Validated Proof-Producing Decision Procedures
Electronic Notes in Theoretical Computer Science (ENTCS)
Electronic Notes in Theoretical Computer Science (ENTCS)
Reachability Analysis of Synchronized PA Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
Early Verification of Computer Systems Temporal Properties
Electronic Notes in Theoretical Computer Science (ENTCS)
Electronic Notes in Theoretical Computer Science (ENTCS)
Continuity analysis of programs
Proceedings of the 37th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Program analysis via satisfiability modulo path programs
Proceedings of the 37th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Distributed Graph Traversals by Relabelling Systems with Applications
Electronic Notes in Theoretical Computer Science (ENTCS)
An Efficient Nelson-Oppen Decision Procedure for Difference Constraints over Rationals
Electronic Notes in Theoretical Computer Science (ENTCS)
A greedy strategy for detecting negative cost cycles in networks
Future Generation Computer Systems - Special issue: High-speed networks and services for data-intensive grids: The DataTAG project
A new approach for discovering fuzzy quantitative sequential patterns in sequence databases
Fuzzy Sets and Systems
On the relation between equations with max-product composition and the covering problem
Fuzzy Sets and Systems
Conditional solutions for the affine reconstruction of N-views
Image and Vision Computing
A well-structured framework for analysing petri net extensions
Information and Computation
Lower and upper bounds on obtaining history independence
Information and Computation
Weighted path queries on semistructured databases
Information and Computation
Improving network lifetime with mobile wireless sensor networks
Computer Communications
Isometric-path numbers of block graphs
Information Processing Letters
A linear time algorithm for the minimum Weighted Feedback Vertex Set on diamonds
Information Processing Letters
On Hamiltonian cycles and Hamiltonian paths
Information Processing Letters
An algorithm for computing the restriction scaffold assignment problem in computational biology
Information Processing Letters
Optimal 2-constraint satisfaction via sum--product algorithms
Information Processing Letters
Intersection reporting on two collections of disjoint sets
Information Sciences: an International Journal
Information Sciences: an International Journal
A methodology for clustering XML documents by structure
Information Systems
Accuracy and fidelity of fast net length estimates
Integration, the VLSI Journal
Detection of saccadic eye movements using the order statistic constant false alarm rate technique
BioMED '08 Proceedings of the Sixth IASTED International Conference on Biomedical Engineering
Weakly-relational shapes for numeric abstractions: improved algorithms and proofs of correctness
Formal Methods in System Design
Approximating power indices: theoretical and empirical analysis
Autonomous Agents and Multi-Agent Systems
Average-case analysis of incremental topological ordering
Discrete Applied Mathematics
Pervasive and Mobile Computing
Pervasive and Mobile Computing
On multivariate genetic systems
FUZZ-IEEE'09 Proceedings of the 18th international conference on Fuzzy Systems
WSEAS Transactions on Information Science and Applications
On the complexity of computing the hypervolume indicator
IEEE Transactions on Evolutionary Computation
IEEE Transactions on Information Forensics and Security - Special issue on electronic voting
Automated design debugging with abstraction and refinement
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
On provisioning in all-optical networks: an impairment-aware approach
IEEE/ACM Transactions on Networking (TON)
Iterative visual clustering for unstructured text mining
ISB '10 Proceedings of the International Symposium on Biocomputing
An improved constraint satisfaction adaptive neural network for job-shop scheduling
Journal of Scheduling
Seeking chances through interface design: the role of abduction
SMC'09 Proceedings of the 2009 IEEE international conference on Systems, Man and Cybernetics
Calibrating an outdoor distributed camera network using laser range finder data
IROS'09 Proceedings of the 2009 IEEE/RSJ international conference on Intelligent robots and systems
Cooperative multi-robot reinforcement learning: a framework in hybrid state space
IROS'09 Proceedings of the 2009 IEEE/RSJ international conference on Intelligent robots and systems
Cross-lingual annotation projection of semantic roles
Journal of Artificial Intelligence Research
Efficient and distributable methods for solving the multiagent plan coordination problem
Multiagent and Grid Systems - Planning in multiagent systems
Power routing: dynamic power provisioning in the data center
Proceedings of the fifteenth edition of ASPLOS on Architectural support for programming languages and operating systems
Integrating LCS and SVM for 3D handwriting recognition on handheld devices using accelerometers
CIT'09 Proceedings of the 3rd International Conference on Communications and information technology
Control of unmanned aerial vehicles for passive detection and tracking of multiple emitters
CISDA'09 Proceedings of the Second IEEE international conference on Computational intelligence for security and defense applications
BREAC: broadcast routing based on Edmonds algorithm for ad hoc networks with asymmetric cost model
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
An advanced scheduling algorithm in OFDM wireless communication systems
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
How to authenticate graphs without leaking
Proceedings of the 13th International Conference on Extending Database Technology
A solution approach to find the critical path in a time-constrained activity network
Computers and Operations Research
An information retrieval approach to predicting meteorological data
International Journal of Modelling and Simulation
Modeling external network behavior by using internal measurements
Journal of Parallel and Distributed Computing
Ɛ-net approach to sensor k-coverage
EURASIP Journal on Wireless Communications and Networking - Special issue on wireless network algorithms, systems, and applications
Automated design of room automation systems by using an evolutionary optimization method
ETFA'09 Proceedings of the 14th IEEE international conference on Emerging technologies & factory automation
On the use of hash tables in real-time applications
ETFA'09 Proceedings of the 14th IEEE international conference on Emerging technologies & factory automation
Joint Monitoring and Routing in Wireless Sensor Networks Using Robust Identifying Codes
Mobile Networks and Applications
Minimum delay routing for wireless networks with STDMA
Wireless Networks
The traveling salesman: computational solutions for TSP applications
The traveling salesman: computational solutions for TSP applications
Coordination by design and the price of autonomy
Autonomous Agents and Multi-Agent Systems
Journal of Visual Languages and Computing
A hand gesture recognition system based on local linear embedding
Journal of Visual Languages and Computing
International Journal of Computers and Applications
Curvature continuous path generation for autonomous vehicle using B-spline curves
Computer-Aided Design
On the source switching problem of Peer-to-Peer streaming
Journal of Parallel and Distributed Computing
Referral based expertise search system in a time evolving social network
Proceedings of the Third Annual ACM Bangalore Conference
CarpeDiem: Optimizing the Viterbi Algorithm and Applications to Supervised Sequential Learning
The Journal of Machine Learning Research
Reinforcement Learning in Finite MDPs: PAC Analysis
The Journal of Machine Learning Research
Safe compositional network sketches: formal framework
Proceedings of the 13th ACM international conference on Hybrid systems: computation and control
Edit-distance of weighted automata
CIAA'02 Proceedings of the 7th international conference on Implementation and application of automata
Prime decompositions of regular prefix codes
CIAA'02 Proceedings of the 7th international conference on Implementation and application of automata
On minimizing cover automata for finite languages in O(n log n) time
CIAA'02 Proceedings of the 7th international conference on Implementation and application of automata
Message authentication on 64-bit architectures
SAC'06 Proceedings of the 13th international conference on Selected areas in cryptography
List total colorings of series-parallel graphs
COCOON'03 Proceedings of the 9th annual international conference on Computing and combinatorics
The complexity of Boolean matrix root computation
COCOON'03 Proceedings of the 9th annual international conference on Computing and combinatorics
Quantum sampling for balanced allocations
COCOON'03 Proceedings of the 9th annual international conference on Computing and combinatorics
Scheduling dynamically spawned processes in MPI-2
JSSPP'06 Proceedings of the 12th international conference on Job scheduling strategies for parallel processing
Charles: a data structure library for Ada95
Ada-Europe'03 Proceedings of the 8th Ada-Europe international conference on Reliable software technologies
On efficient procedures for multi-issue negotiation
TADA/AMEC'06 Proceedings of the 2006 AAMAS workshop and TADA/AMEC 2006 conference on Agent-mediated electronic commerce: automated negotiation and strategy design for electronic markets
Succinct dynamic dictionaries and trees
ICALP'03 Proceedings of the 30th international conference on Automata, languages and programming
A note on the discrete binary Mumford-Shah model
MIRAGE'07 Proceedings of the 3rd international conference on Computer vision/computer graphics collaboration techniques
An algorithm for hierarchical classification of genes of prokaryotic genomes
ISBRA'07 Proceedings of the 3rd international conference on Bioinformatics research and applications
An effective algorithm for the membership problem for extended regular expressions
FOSSACS'07 Proceedings of the 10th international conference on Foundations of software science and computational structures
An efficient pre-determinization algorithm
CIAA'03 Proceedings of the 8th international conference on Implementation and application of automata
Precise widening operators for convex polyhedra
SAS'03 Proceedings of the 10th international conference on Static analysis
A generic program for minimal subsets with applications
LOPSTR'02 Proceedings of the 12th international conference on Logic based program synthesis and transformation
Post-supervised template induction for dynamic web sources
AI'03 Proceedings of the 16th Canadian society for computational studies of intelligence conference on Advances in artificial intelligence
PAKDD'03 Proceedings of the 7th Pacific-Asia conference on Advances in knowledge discovery and data mining
A hybrid scheme for interactive rendering a virtual environment
ICCSA'03 Proceedings of the 2003 international conference on Computational science and its applications: PartIII
A new data compression technique for event based program traces
ICCS'03 Proceedings of the 2003 international conference on Computational science: PartIII
Constraint-handling method for multi-objective function optimization: Pareto descent repair operator
EMO'07 Proceedings of the 4th international conference on Evolutionary multi-criterion optimization
A temporal approach to specification and verification of pointer data-structures
FASE'03 Proceedings of the 6th international conference on Fundamental approaches to software engineering
Automata-theoretic model checking revisited
VMCAI'07 Proceedings of the 8th international conference on Verification, model checking, and abstract interpretation
An abstract domain extending difference-bound matrices with disequality constraints
VMCAI'07 Proceedings of the 8th international conference on Verification, model checking, and abstract interpretation
Generating representation invariants of structurally complex data
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
A study of global inference algorithms in multi-document summarization
ECIR'07 Proceedings of the 29th European conference on IR research
Improving linear programming approaches for the steiner tree problem
WEA'03 Proceedings of the 2nd international conference on Experimental and efficient algorithms
Computational challenges in multiple wavetable interpolation synthesis
ICCS'03 Proceedings of the 1st international conference on Computational science: PartI
Approximation algorithms to minimum vertex cover problems on polygons and terrains
ICCS'03 Proceedings of the 1st international conference on Computational science: PartI
Continuous curve matching with scale-space curvature and extrema-based scale selection
Scale Space'03 Proceedings of the 4th international conference on Scale space methods in computer vision
Constructing light spanning trees with small routing cost
STACS'99 Proceedings of the 16th annual conference on Theoretical aspects of computer science
Supporting increment and decrement operations in balancing networks
STACS'99 Proceedings of the 16th annual conference on Theoretical aspects of computer science
Techniques for abstracting SDL specifications
SAM'02 Proceedings of the 3rd international conference on Telecommunications and beyond: the broader applicability of SDL and MSC
Checking properties of heap-manipulating procedures with a constraint solver
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
Register allocation by optimal graph coloring
CC'03 Proceedings of the 12th international conference on Compiler construction
Address register assignment for reducing code size
CC'03 Proceedings of the 12th international conference on Compiler construction
Mobicast: just-in-time multicast for sensor networks under spatiotemporal constraints
IPSN'03 Proceedings of the 2nd international conference on Information processing in sensor networks
Cryptanalysis of the public-key encryption based on braid groups
EUROCRYPT'03 Proceedings of the 22nd international conference on Theory and applications of cryptographic techniques
Authenticated data structures for graph and geometric searching
CT-RSA'03 Proceedings of the 2003 RSA conference on The cryptographers' track
Communication algorithms with advice
Journal of Computer and System Sciences
Design and control of workflow processes: business process management for the service industry
Design and control of workflow processes: business process management for the service industry
On the internal steiner tree problem
TAMC'07 Proceedings of the 4th international conference on Theory and applications of models of computation
Vertex cover approximations on random graphs
WEA'07 Proceedings of the 6th international conference on Experimental algorithms
Regenerator placement with guaranteed connectivity in optical networks
ONDM'07 Proceedings of the 11th international IFIP TC6 conference on Optical network design and modeling
Efficient representations of row-sorted 1-variant matrices for parallel string applications
ICA3PP'07 Proceedings of the 7th international conference on Algorithms and architectures for parallel processing
Static detection of Livelocks in Ada multitasking programs
Ada-Europe'07 Proceedings of the 12th international conference on Reliable software technologies
Video-on-demand server selection and placement
ITC20'07 Proceedings of the 20th international teletraffic conference on Managing traffic performance in converged networks
A compilation framework for power and energy management on mobile computers
LCPC'01 Proceedings of the 14th international conference on Languages and compilers for parallel computing
GbRPR'07 Proceedings of the 6th IAPR-TC-15 international conference on Graph-based representations in pattern recognition
A decision procedure for bit-vectors and arrays
CAV'07 Proceedings of the 19th international conference on Computer aided verification
Information-based compact pose SLAM
IEEE Transactions on Robotics
Path planning for improved visibility using a probabilistic road map
IEEE Transactions on Robotics
Linear programming algorithms for sparse filter design
IEEE Transactions on Signal Processing
A distributed energy-efficient topology control routing for mobile wireless sensor networks
NETWORKING'07 Proceedings of the 6th international IFIP-TC6 conference on Ad Hoc and sensor networks, wireless networks, next generation internet
Incremental speech translation
Incremental speech translation
Nonlinear Dimensionality Reduction by Topologically Constrained Isometric Embedding
International Journal of Computer Vision
Mapping in heterogeneous systems with heuristic methods
PARA'06 Proceedings of the 8th international conference on Applied parallel computing: state of the art in scientific computing
Linear-time model checking: automata theory in practice
CIAA'07 Proceedings of the 12th international conference on Implementation and application of automata
Resource conflict detection in simulation of function unit pipelines
SAMOS'07 Proceedings of the 7th international conference on Embedded computer systems: architectures, modeling, and simulation
Automated classification and analysis of internet malware
RAID'07 Proceedings of the 10th international conference on Recent advances in intrusion detection
Implementing range queries with a decentralized balanced tree over distributed hash tables
NBiS'07 Proceedings of the 1st international conference on Network-based information systems
Senary Huffman compression - a reversible data hiding scheme for binary images
MCAM'07 Proceedings of the 2007 international conference on Multimedia content analysis and mining
A topology-independent similarity measure for high-dimensional feature spaces
ICANN'07 Proceedings of the 17th international conference on Artificial neural networks
Fast digital signature algorithm based on subgraph isomorphism
CANS'07 Proceedings of the 6th international conference on Cryptology and network security
ESA'07 Proceedings of the 15th annual European conference on Algorithms
Radix sorting with no extra space
ESA'07 Proceedings of the 15th annual European conference on Algorithms
Fast and compact oracles for approximate distances in planar graphs
ESA'07 Proceedings of the 15th annual European conference on Algorithms
Proving termination of tree manipulating programs
ATVA'07 Proceedings of the 5th international conference on Automated technology for verification and analysis
Rotation-invariant texture recognition
ISVC'07 Proceedings of the 3rd international conference on Advances in visual computing - Volume Part II
Geometrical information fusion from WWW and its related information
DNIS'07 Proceedings of the 5th international conference on Databases in networked information systems
Effective corner matching for transformed image identification
PCM'07 Proceedings of the multimedia 8th Pacific Rim conference on Advances in multimedia information processing
Multi-Agent Simulations of the Evolution of Combinatorial Phonology
Adaptive Behavior - Animals, Animats, Software Agents, Robots, Adaptive Systems
Efficient time series data classification and compression in distributed monitoring
PAKDD'07 Proceedings of the 2007 international conference on Emerging technologies in knowledge discovery and data mining
Keyword extraction from a single document using centrality measures
PReMI'07 Proceedings of the 2nd international conference on Pattern recognition and machine intelligence
Average-case analysis of online topological ordering
ISAAC'07 Proceedings of the 18th international conference on Algorithms and computation
Undirected graphs of entanglement 2
FSTTCS'07 Proceedings of the 27th international conference on Foundations of software technology and theoretical computer science
Solving numerical NP-complete problems with spiking neural P systems
WMC'07 Proceedings of the 8th international conference on Membrane computing
SSDGP'06 Proceedings of the 2006 international conference on Datatype-generic programming
On algebraic expressions of series-parallel and Fibonacci graphs
DMTCS'03 Proceedings of the 4th international conference on Discrete mathematics and theoretical computer science
CST-trees: cache sensitive t-trees
DASFAA'07 Proceedings of the 12th international conference on Database systems for advanced applications
Continuous evaluation of fastest path queries on road networks
SSTD'07 Proceedings of the 10th international conference on Advances in spatial and temporal databases
SWorD: a simple worm detection scheme
OTM'07 Proceedings of the 2007 OTM confederated international conference on On the move to meaningful internet systems: CoopIS, DOA, ODBASE, GADA, and IS - Volume Part II
Understanding content reuse on the web: static and dynamic analyses
WebKDD'06 Proceedings of the 8th Knowledge discovery on the web international conference on Advances in web mining and web usage analysis
Magnifying computing gaps: establishing encrypted communication over unidirectional channels
SSS'07 Proceedings of the 9h international conference on Stabilization, safety, and security of distributed systems
Semplore: an IR approach to scalable hybrid query of semantic web data
ISWC'07/ASWC'07 Proceedings of the 6th international The semantic web and 2nd Asian conference on Asian semantic web conference
Verifying parameterized taDOM+ lock managers
SOFSEM'08 Proceedings of the 34th conference on Current trends in theory and practice of computer science
Persistent data structures for fast point location
PPAM'07 Proceedings of the 7th international conference on Parallel processing and applied mathematics
CPAIOR'08 Proceedings of the 5th international conference on Integration of AI and OR techniques in constraint programming for combinatorial optimization problems
An improved tight closure algorithm for integer octagonal constraints
VMCAI'08 Proceedings of the 9th international conference on Verification, model checking, and abstract interpretation
Mediator-free secure policy interoperation of exclusively-trusted multiple domains
ISPEC'08 Proceedings of the 4th international conference on Information security practice and experience
Reducing splaying by taking advantage of working sets
WEA'08 Proceedings of the 7th international conference on Experimental algorithms
A small-world optimization algorithm based and ABC supported QoS unicast routing scheme
NPC'07 Proceedings of the 2007 IFIP international conference on Network and parallel computing
Design and evaluation of parallel string matching algorithms for network intrusion detection systems
NPC'07 Proceedings of the 2007 IFIP international conference on Network and parallel computing
Depletable channels: dynamics and behaviour
FCT'09 Proceedings of the 17th international conference on Fundamentals of computation theory
Combinatorial queries and updates on partial words
FCT'09 Proceedings of the 17th international conference on Fundamentals of computation theory
Understanding planning tasks: domain complexity and heuristic decomposition
Understanding planning tasks: domain complexity and heuristic decomposition
ISBRA'08 Proceedings of the 4th international conference on Bioinformatics research and applications
Network-based inference of cancer progression from microarray data
ISBRA'08 Proceedings of the 4th international conference on Bioinformatics research and applications
Evacuation planning: a capacity constrained routing approach
ISI'03 Proceedings of the 1st NSF/NIJ conference on Intelligence and security informatics
First results for 3D image segmentation with topological map
DGCI'08 Proceedings of the 14th IAPR international conference on Discrete geometry for computer imagery
Traffic engineering and routing in IP networks with centralized control
NETWORKING'08 Proceedings of the 7th international IFIP-TC6 networking conference on AdHoc and sensor networks, wireless networks, next generation internet
Efficient approximation algorithms for shortest cycles in undirected graphs
LATIN'08 Proceedings of the 8th Latin American conference on Theoretical informatics
Comparison of local and global region merging in the topological map
IWCIA'08 Proceedings of the 12th international conference on Combinatorial image analysis
SC'08 Proceedings of the 7th international conference on Software composition
Heuristic algorithms for designing minimum cost FSO networks
ANTS'09 Proceedings of the 3rd international conference on Advanced networks and telecommunication systems
International Journal of Ad Hoc and Ubiquitous Computing
Sentence similarity measure based on events and content words
FSKD'09 Proceedings of the 6th international conference on Fuzzy systems and knowledge discovery - Volume 7
Summarization graph indexing: beyond frequent structure-based approach
DASFAA'08 Proceedings of the 13th international conference on Database systems for advanced applications
Cache conscious trees: how do they perform on contemporary commodity microprocessors?
ICCSA'07 Proceedings of the 2007 international conference on Computational science and its applications - Volume Part I
Detecting disease-specific dysregulated pathways via analysis of clinical expression profiles
RECOMB'08 Proceedings of the 12th annual international conference on Research in computational molecular biology
Computing label-constraint reachability in graph databases
Proceedings of the 2010 ACM SIGMOD International Conference on Management of data
Histograms reloaded: the merits of bucket diversity
Proceedings of the 2010 ACM SIGMOD International Conference on Management of data
On coverage and capacity for disaster area wireless networks using mobile relays
EURASIP Journal on Wireless Communications and Networking
Algorithms for sensor and ad hoc networks: advanced lectures
Algorithms for sensor and ad hoc networks: advanced lectures
Delays induce an exponential memory gap for rendezvous in trees
Proceedings of the twenty-second annual ACM symposium on Parallelism in algorithms and architectures
A novel strategy for multi-resource load balancing in agent-based systems
International Journal of Intelligent Information and Database Systems
ALT'09 Proceedings of the 20th international conference on Algorithmic learning theory
Interfaces
Extracting attack sessions from real traffic with intrusion prevention systems
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Efficient methods for large resistor networks
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Hybrid approaches and dimensionality reduction for portfolio selection with cardinality constraints
IEEE Computational Intelligence Magazine
Combining local filtering and multiscale analysis for edge, ridge, and curvilinear objects detection
IEEE Transactions on Image Processing
Robust task scheduling for volunteer computing systems
The Journal of Supercomputing
An evolutionary approach to the multidepot capacitated arc routing problem
IEEE Transactions on Evolutionary Computation
Multibody structure-and-motion segmentation by branch-and-bound model selection
IEEE Transactions on Image Processing
Techniques for visualizing data structures in algorithmic animations
Information Visualization
The impact of physical conditions on network connectivity in wireless sensor network
CCNC'10 Proceedings of the 7th IEEE conference on Consumer communications and networking conference
Distributed time, conservative parallel logic simulation on GPUs
Proceedings of the 47th Design Automation Conference
QoS-based MPLS multicast tree selection algorithms
Proceedings of the 7th International Conference on Frontiers of Information Technology
Unicast and multicast QoS routing with soft-constraint logic programming
ACM Transactions on Computational Logic (TOCL)
DMP-tree: A dynamic M-way prefix tree data structure for strings matching
Computers and Electrical Engineering
Finding monotone paths in edge-ordered graphs
Discrete Applied Mathematics
Abnormal human behavioral pattern detection in assisted living environments
Proceedings of the 3rd International Conference on PErvasive Technologies Related to Assistive Environments
Multi-robot area patrol under frequency constraints
Annals of Mathematics and Artificial Intelligence
CCGRID '10 Proceedings of the 2010 10th IEEE/ACM International Conference on Cluster, Cloud and Grid Computing
Computers and Operations Research
WSEAS Transactions on Computers
The Knowledge Engineering Review
Learning word-class lattices for definition and hypernym extraction
ACL '10 Proceedings of the 48th Annual Meeting of the Association for Computational Linguistics
Strengthening Landmark Heuristics via Hitting Sets
Proceedings of the 2010 conference on ECAI 2010: 19th European Conference on Artificial Intelligence
Text relatedness based on a word thesaurus
Journal of Artificial Intelligence Research
A dynamic, decentralised search algorithm for efficient data retrieval in a distributed tuple space
AusPDC '10 Proceedings of the Eighth Australasian Symposium on Parallel and Distributed Computing - Volume 107
Speech enhancement with inventory style speech resynthesis
IEEE Transactions on Audio, Speech, and Language Processing
Building classifiers using Bayesian networks
AAAI'96 Proceedings of the thirteenth national conference on Artificial intelligence - Volume 2
A filtering algorithm for k-mismatch with don't cares
Information Processing Letters
WSEAS Transactions on Computers
An average case analysis of planning
AAAI'93 Proceedings of the eleventh national conference on Artificial intelligence
Relational Abstract Domain of Weighted Hexagons
Electronic Notes in Theoretical Computer Science (ENTCS)
Formal specification of networks-on-chips: deadlock and evacuation
Proceedings of the Conference on Design, Automation and Test in Europe
Exploiting clock skew scheduling for FPGA
Proceedings of the Conference on Design, Automation and Test in Europe
CiE'10 Proceedings of the Programs, proofs, process and 6th international conference on Computability in Europe
On cluster resource allocation for multiple parallel task graphs
Journal of Parallel and Distributed Computing
Spanning tree approaches for statistical sentence generation
Empirical methods in natural language generation
Fast ISOMAP based on minimum set coverage
ICIC'10 Proceedings of the Advanced intelligent computing theories and applications, and 6th international conference on Intelligent computing
Divide and discriminate: algorithm for deterministic and fast hash lookups
Proceedings of the 5th ACM/IEEE Symposium on Architectures for Networking and Communications Systems
Algorithms and theory of computation handbook
Algorithmic issues in grid computing
Algorithms and theory of computation handbook
Algorithms and theory of computation handbook
Algorithms and theory of computation handbook
Algorithms and theory of computation handbook
Proceedings of the 2010 ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis
AMT'10 Proceedings of the 6th international conference on Active media technology
Exact and approximation algorithms for geometric and capacitated set cover problems
COCOON'10 Proceedings of the 16th annual international conference on Computing and combinatorics
Efficiently finding similar objects on ontologies using earth mover's distance
DEXA'10 Proceedings of the 21st international conference on Database and expert systems applications: Part II
RTSS'10 Proceedings of the 21st IEEE conference on Real-time systems symposium
An algorithmic comparison of three scientific impact indices
Acta Cybernetica
Reputation-based dependable scheduling of workflow applications in Peer-to-Peer Grids
Computer Networks: The International Journal of Computer and Telecommunications Networking
Developing approaches for solving a telecommunications feature subscription problem
Journal of Artificial Intelligence Research
An algorithm for multicast tree generation in networks with asymmetric links
INFOCOM'96 Proceedings of the Fifteenth annual joint conference of the IEEE computer and communications societies conference on The conference on computer communications - Volume 1
On the initialization of sequential circuits
ITC'94 Proceedings of the 1994 international conference on Test
Efficient test response compression for multiple-output circuits
ITC'94 Proceedings of the 1994 international conference on Test
On one problem of construction of energy-saving schedules
Programming and Computing Software
Efficient hardware algorithms for n choose k counters
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
HADI: Mining Radii of Large Graphs
ACM Transactions on Knowledge Discovery from Data (TKDD)
On L∞ properties of multiresolution scalar quantizers
IEEE Transactions on Information Theory
Optimizing average performance of OFDM systems using limited-rate feedback
IEEE Transactions on Wireless Communications
Shortest path and maximum flow problems in networks with additive losses and gains
Theoretical Computer Science
Algorithms for Scheduling Runway Operations Under Constrained Position Shifting
Operations Research
The extended global cardinality constraint: An empirical survey
Artificial Intelligence
Design and implementation of a public key-based group collaboration system
Computer Communications
A new approach to construct optimal bow tie diagrams for risk analysis
IEA/AIE'10 Proceedings of the 23rd international conference on Industrial engineering and other applications of applied intelligent systems - Volume Part II
A high-level framework for distributed processing of large-scale graphs
ICDCN'11 Proceedings of the 12th international conference on Distributed computing and networking
Fuzzy covering problem based on the expert valuations
MMACTEE'09 Proceedings of the 11th WSEAS international conference on Mathematical methods and computational techniques in electrical engineering
Trainable videorealistic speech animation
FGR' 04 Proceedings of the Sixth IEEE international conference on Automatic face and gesture recognition
On efficient multi-angle face prototype collection
FGR' 04 Proceedings of the Sixth IEEE international conference on Automatic face and gesture recognition
Iterative message passing techniques for rapid code acquisition
MILCOM'03 Proceedings of the 2003 IEEE conference on Military communications - Volume I
SPREAD: improving network security by multipath routing
MILCOM'03 Proceedings of the 2003 IEEE conference on Military communications - Volume II
A black box for online approximate pattern matching
Information and Computation
A CMOS current-mode dynamic programming circuit
IEEE Transactions on Circuits and Systems Part I: Regular Papers - Special section on 2009 IEEE system-on-chip conference
Faster Algorithms for All-pairs Approximate Shortest Paths in Undirected Graphs
SIAM Journal on Computing
On Two-Dimensional Sparse Matrix Partitioning: Models, Methods, and a Recipe
SIAM Journal on Scientific Computing
IEEE/ACM Transactions on Networking (TON)
Predicting the labels of an unknown graph via adaptive exploration
Theoretical Computer Science
Integrating reasoning and clinical archetypes using OWL ontologies and SWRL rules
Journal of Biomedical Informatics
A computationally efficient engine for flexible intrusion detection
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Wire retiming as fixpoint computation
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Probabilistic delay budget assignment for synthesis of soft real-time applications
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Improving DPA by peak distribution analysis
SAC'10 Proceedings of the 17th international conference on Selected areas in cryptography
How many threads to spawn during program multithreading?
LCPC'10 Proceedings of the 23rd international conference on Languages and compilers for parallel computing
Walks in phylogenetic treespace
Information Processing Letters
The minimum-energy broadcast problem in symmetric wireless ad hoc networks
ACOS'06 Proceedings of the 5th WSEAS international conference on Applied computer science
A key-address mapping sort algorithm
ACOS'06 Proceedings of the 5th WSEAS international conference on Applied computer science
The determination of the guillotine restrictions for a rectangular cutting-stock pattern
ICCOMP'10 Proceedings of the 14th WSEAS international conference on Computers: part of the 14th WSEAS CSCC multiconference - Volume I
Efficient methods for grouping vectors into low-rank clusters
Journal of Computational Physics
Characterization of graphs and digraphs with small process numbers
Discrete Applied Mathematics
Best position algorithms for efficient top-k query processing
Information Systems
Fast track article: Impact of source counter on routing performance in resource constrained DTNs
Pervasive and Mobile Computing
Patching vulnerabilities with sanitization synthesis
Proceedings of the 33rd International Conference on Software Engineering
Hierarchic euclidean skeletons in cubical complexes
DGCI'11 Proceedings of the 16th IAPR international conference on Discrete geometry for computer imagery
Generalizing the template polyhedral domain
ESOP'11/ETAPS'11 Proceedings of the 20th European conference on Programming languages and systems: part of the joint European conferences on theory and practice of software
Approximate string matching with stuck address bits
Theoretical Computer Science
Yield grammar analysis in the Bellman's GAP compiler
Proceedings of the Eleventh Workshop on Language Descriptions, Tools and Applications
A Hadoop based distributed loading approach to parallel data warehouses
Proceedings of the 2011 ACM SIGMOD International Conference on Management of data
RECYCLE: Learning looping workflows from annotated traces
ACM Transactions on Intelligent Systems and Technology (TIST)
Multicriteria decision making for reactive power compensation in distribution systems
ECC'11 Proceedings of the 5th European conference on European computing conference
FA-STAC: An algorithmic framework for fast and accurate coupling aware static timing analysis
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Efficient retiming of large circuits
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Verification of semantic commutativity conditions and inverse operations on linked data structures
Proceedings of the 32nd ACM SIGPLAN conference on Programming language design and implementation
Channel, capacity, and flow assignment in wireless mesh networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Discrete Applied Mathematics
Skeleton extraction from a mesh for easy skinning animation
Proceedings of the 13th International Conference on Humans and Computers
Design and hardware implementation of a stereo-matching system based on dynamic programming
Microprocessors & Microsystems
Identifying topic experts and topic communities in the blogspace
DASFAA'11 Proceedings of the 16th international conference on Database systems for advanced applications - Volume Part I
Approximation algorithms for the graph orientation minimizing the maximum weighted outdegree
Journal of Combinatorial Optimization
OWL 2 modeling and reasoning with complex human activities
Pervasive and Mobile Computing
Fast computation of small cuts via cycle space sampling
ACM Transactions on Algorithms (TALG)
HLT '11 Proceedings of the 49th Annual Meeting of the Association for Computational Linguistics: Tutorial Abstracts of ACL 2011
Proceedings of the VLDB Endowment
IWCIA'11 Proceedings of the 14th international conference on Combinatorial image analysis
Industrial design using interpolatory discrete developable surfaces
Computer-Aided Design
Identifying cloned navigational patterns in web applications
Journal of Web Engineering
Efficient stack distance computation for priority replacement policies
Proceedings of the 8th ACM International Conference on Computing Frontiers
Efficient linear optics quantum coputation
Quantum Information & Computation
On the weak prefix-search problem
CPM'11 Proceedings of the 22nd annual conference on Combinatorial pattern matching
Spatial hardware implementation for sparse graph algorithms in GraphStep
ACM Transactions on Autonomous and Adaptive Systems (TAAS)
Hybrid PGAS runtime support for multicore nodes
Proceedings of the Fourth Conference on Partitioned Global Address Space Programming Model
Similarity determination for clustering textual documents
KONT'07/KPP'07 Proceedings of the First international conference on Knowledge processing and data analysis
A dynamic data middleware cache for rapidly-growing scientific repositories
Proceedings of the ACM/IFIP/USENIX 11th International Conference on Middleware
A hybrid heuristic-genetic algorithm for task scheduling in heterogeneous processor networks
Journal of Parallel and Distributed Computing
Proceedings of the 15th WSEAS international conference on Computers
State complexity research and approximation
DLT'11 Proceedings of the 15th international conference on Developments in language theory
Algorithms for partition of some class of graphs under compaction
COCOON'11 Proceedings of the 17th annual international conference on Computing and combinatorics
Geometric Latent Dirichlet Allocation on a Matching Graph for Large-scale Image Datasets
International Journal of Computer Vision
Testing of heuristic methods: a case study of greedy algorithm
CEE-SET'08 Proceedings of the Third IFIP TC 2 Central and East European conference on Software engineering techniques
A fast algorithm to locate concepts in execution traces
SSBSE'11 Proceedings of the Third international conference on Search based software engineering
Performance evaluation of schedulers in a probabilistic setting
FORMATS'11 Proceedings of the 9th international conference on Formal modeling and analysis of timed systems
A faster circle-sweep Delaunay triangulation algorithm
Advances in Engineering Software
Contour analysis-based matching of ground objects in aerial images
Journal of Computer and Systems Sciences International
Detecting low-rank clusters via random sampling
Journal of Computational Physics
Domain adaptation in regression
ALT'11 Proceedings of the 22nd international conference on Algorithmic learning theory
Efficient data race detection for distributed memory parallel programs
Proceedings of 2011 International Conference for High Performance Computing, Networking, Storage and Analysis
DELTA: indexing and querying multi-labeled graphs
Proceedings of the 20th ACM international conference on Information and knowledge management
Surrogate data: A novel approach to object detection
International Journal of Applied Mathematics and Computer Science
Transposition Rearrangement: Linear Algorithm for Length-Cost Model
Annales UMCS, Informatica
Transposition Rearrangement: Linear Algorithm for Length-Cost Model
Annales UMCS, Informatica
SIRALINA: efficient two-steps heuristic for storage optimisation in single period task scheduling
Journal of Combinatorial Optimization
Easy Formal Specification and Validation of Unbounded Networks-on-Chips Architectures
ACM Transactions on Design Automation of Electronic Systems (TODAES)
ACM Transactions on Algorithms (TALG)
Reasoning with conditional ceteris paribus preference statements
UAI'99 Proceedings of the Fifteenth conference on Uncertainty in artificial intelligence
Learning bayesian network structure from massive datasets: the «sparse candidate« algorithm
UAI'99 Proceedings of the Fifteenth conference on Uncertainty in artificial intelligence
Tree-dependent component analysis
UAI'02 Proceedings of the Eighteenth conference on Uncertainty in artificial intelligence
Pivotal pruning of trade-offs in QPNs
UAI'00 Proceedings of the Sixteenth conference on Uncertainty in artificial intelligence
Efficient approximation for triangulation of minimum treewidth
UAI'01 Proceedings of the Seventeenth conference on Uncertainty in artificial intelligence
Enumerating Markov equivalence classes of acyclic digraph dels
UAI'01 Proceedings of the Seventeenth conference on Uncertainty in artificial intelligence
Logarithmic time parallel Bayesian inference
UAI'98 Proceedings of the Fourteenth conference on Uncertainty in artificial intelligence
Fast value iteration for goal-directed Markov decision processes
UAI'97 Proceedings of the Thirteenth conference on Uncertainty in artificial intelligence
A sufficiently fast algorithm for finding close to optimal junction trees
UAI'96 Proceedings of the Twelfth international conference on Uncertainty in artificial intelligence
Approximation algorithms for the loop cutset problem
UAI'94 Proceedings of the Tenth international conference on Uncertainty in artificial intelligence
Using causal information and local measures to learn Bayesian networks
UAI'93 Proceedings of the Ninth international conference on Uncertainty in artificial intelligence
Symbolic execution of alloy models
ICFEM'11 Proceedings of the 13th international conference on Formal methods and software engineering
Global Interactions in Random Field Models: A Potential Function Ensuring Connectedness
SIAM Journal on Imaging Sciences
Hypergraph Partitioning-Based Fill-Reducing Ordering for Symmetric Matrices
SIAM Journal on Scientific Computing
A fast and effective method for pruning of non-dominated solutions in many-objective problems
PPSN'06 Proceedings of the 9th international conference on Parallel Problem Solving from Nature
SCEND: an efficient semantic cache to adequately explore answerability of views
WISE'06 Proceedings of the 7th international conference on Web Information Systems
Distributed algorithms for dynamic survivability of multiagent systems
CLIMA IV'04 Proceedings of the 4th international conference on Computational Logic in Multi-Agent Systems
Selection of optimal dimensionality reduction methods for face recognition using genetic algorithms
ADVIS'04 Proceedings of the Third international conference on Advances in Information Systems
A new n-ary existential quantifier in description logics
KI'05 Proceedings of the 28th annual German conference on Advances in Artificial Intelligence
A study on the locality behavior of minimum spanning tree algorithms
HiPC'06 Proceedings of the 13th international conference on High Performance Computing
An efficient parallel algorithm for ultrametric tree construction based on 3PR
ISPA'06 Proceedings of the 2006 international conference on Frontiers of High Performance Computing and Networking
Revisiting colored networks and privacy preserving censorship
CRITIS'06 Proceedings of the First international conference on Critical Information Infrastructures Security
IFTrace: Video segmentation of deformable objects using the Image Foresting Transform
Computer Vision and Image Understanding
Interactive analysis of attack graphs using relational queries
DBSEC'06 Proceedings of the 20th IFIP WG 11.3 working conference on Data and Applications Security
Resolving information flow conflicts in RBAC systems
DBSEC'06 Proceedings of the 20th IFIP WG 11.3 working conference on Data and Applications Security
Parametric and termination-sensitive control dependence
SAS'06 Proceedings of the 13th international conference on Static Analysis
A light-based device for solving the hamiltonian path problem
UC'06 Proceedings of the 5th international conference on Unconventional Computation
Speech confusion index (Ø): a recognition rate indicator for dysarthric speakers
FinTAL'06 Proceedings of the 5th international conference on Advances in Natural Language Processing
A structural characterization of temporal dynamic controllability
CP'06 Proceedings of the 12th international conference on Principles and Practice of Constraint Programming
ACIVS'06 Proceedings of the 8th international conference on Advanced Concepts For Intelligent Vision Systems
Multi-approximate-keyword routing in GIS data
Proceedings of the 19th ACM SIGSPATIAL International Conference on Advances in Geographic Information Systems
Fast and simple approximation of the diameter and radius of a graph
WEA'06 Proceedings of the 5th international conference on Experimental Algorithms
Acyclic bidirected and skew-symmetric graphs: algorithms and structure
CSR'06 Proceedings of the First international computer science conference on Theory and Applications
Summarizing frequent patterns using profiles
DASFAA'06 Proceedings of the 11th international conference on Database Systems for Advanced Applications
Kolmogorov complexity with error
STACS'06 Proceedings of the 23rd Annual conference on Theoretical Aspects of Computer Science
On the complexity of the “most general” firing squad synchronization problem
STACS'06 Proceedings of the 23rd Annual conference on Theoretical Aspects of Computer Science
Verifying average dwell time by solving optimization problems
HSCC'06 Proceedings of the 9th international conference on Hybrid Systems: computation and control
An O(n2.75) algorithm for online topological ordering
SWAT'06 Proceedings of the 10th Scandinavian conference on Algorithm Theory
Labeling schemes for tree representation
IWDC'05 Proceedings of the 7th international conference on Distributed Computing
Farthest-Point queries with geometric and combinatorial constraints
JCDCG'04 Proceedings of the 2004 Japanese conference on Discrete and Computational Geometry
It’s elementary, my dear watson: time-optimal sorting algorithms on a completely overlapping network
ISPA'05 Proceedings of the Third international conference on Parallel and Distributed Processing and Applications
Privacy-Preserving graph algorithms in the semi-honest model
ASIACRYPT'05 Proceedings of the 11th international conference on Theory and Application of Cryptology and Information Security
Relational implementation of simple parallel evolutionary algorithms
RelMiCS'05 Proceedings of the 8th international conference on Relational Methods in Computer Science, Proceedings of the 3rd international conference on Applications of Kleene Algebra
Knuth-Bendix completion as a data structure
RelMiCS'05 Proceedings of the 8th international conference on Relational Methods in Computer Science, Proceedings of the 3rd international conference on Applications of Kleene Algebra
GIST: group-independent spanning tree for data aggregation in dense sensor networks
DCOSS'06 Proceedings of the Second IEEE international conference on Distributed Computing in Sensor Systems
The approximability of the exemplar breakpoint distance problem
AAIM'06 Proceedings of the Second international conference on Algorithmic Aspects in Information and Management
Branch on price: a fast winner determination algorithm for discount auctions
AAIM'06 Proceedings of the Second international conference on Algorithmic Aspects in Information and Management
Approximate matching in weighted sequences
CPM'06 Proceedings of the 17th Annual conference on Combinatorial Pattern Matching
Monte-Carlo optimizations for resource allocation problems in stochastic network systems
UAI'03 Proceedings of the Nineteenth conference on Uncertainty in Artificial Intelligence
Complexity of compositional model checking of computation tree logic on simple structures
IWDC'04 Proceedings of the 6th international conference on Distributed Computing
Efficient algorithms for detecting regular point configurations
ICTCS'05 Proceedings of the 9th Italian conference on Theoretical Computer Science
Using dominators for solving constrained path problems
PADL'06 Proceedings of the 8th international conference on Practical Aspects of Declarative Languages
Deciding separation logic formulae by SAT and incremental negative cycle elimination
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Roll cutting in the curtain industry
ESA'05 Proceedings of the 13th annual European conference on Algorithms
Shortest paths in matrix multiplication time
ESA'05 Proceedings of the 13th annual European conference on Algorithms
A productive duplication-based scheduling algorithm for heterogeneous computing systems
HPCC'05 Proceedings of the First international conference on High Performance Computing and Communications
Rounding of sequences and matrices, with applications
WAOA'05 Proceedings of the Third international conference on Approximation and Online Algorithms
Optimal gossiping with unit size messages in known topology radio networks
CAAN'06 Proceedings of the Third international conference on Combinatorial and Algorithmic Aspects of Networking
Stochastic DFS for multiprocessor scheduling of cyclic taskgraphs
PDCAT'04 Proceedings of the 5th international conference on Parallel and Distributed Computing: applications and Technologies
Finding the K shortest paths in a schedule-based transit network
Computers and Operations Research
Energy-efficient topology control in wireless ad hoc networks with selfish nodes
Computer Networks: The International Journal of Computer and Telecommunications Networking
Visually defining and querying consistent multi-granular clinical temporal abstractions
Artificial Intelligence in Medicine
Algorithm and software for integration over a convex polyhedron
ICMS'06 Proceedings of the Second international conference on Mathematical Software
Improvement of the naive group key distribution approach for mobile ad hoc networks
MSN'05 Proceedings of the First international conference on Mobile Ad-hoc and Sensor Networks
SPARQL query processing with conventional relational database systems
WISE'05 Proceedings of the 2005 international conference on Web Information Systems Engineering
Nash equilibria in all-optical networks
WINE'05 Proceedings of the First international conference on Internet and Network Economics
Automatic 3d motion synthesis with time-striding hidden markov model
ICMLC'05 Proceedings of the 4th international conference on Advances in Machine Learning and Cybernetics
Semi-supervised semantic role labeling via structural alignment
Computational Linguistics
The asymptotics of points of bounded height on diagonal cubic and quartic threefolds
ANTS'06 Proceedings of the 7th international conference on Algorithmic Number Theory
New algorithms for multicast routing and wavelength assignment in multi-hop optical WDM networks
Photonic Network Communications
A mathematical model and a metaheuristic approach for a memory allocation problem
Journal of Heuristics
Bidirectional data aggregation scheme for wireless sensor networks
UIC'06 Proceedings of the Third international conference on Ubiquitous Intelligence and Computing
Computing maximum-scoring segments in almost linear time
COCOON'06 Proceedings of the 12th annual international conference on Computing and Combinatorics
Stronger reduction criteria for local first search
ICTAC'06 Proceedings of the Third international conference on Theoretical Aspects of Computing
Proof-producing program analysis
ICTAC'06 Proceedings of the Third international conference on Theoretical Aspects of Computing
History trees as descriptors of macromolecular structures
ISVC'06 Proceedings of the Second international conference on Advances in Visual Computing - Volume Part I
Exact and approximation algorithms for computing the dilation spectrum of paths, trees, and cycles
ISAAC'05 Proceedings of the 16th international conference on Algorithms and Computation
Optimizations for compiling declarative models into boolean formulas
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
Layout decomposition for triple patterning lithography
Proceedings of the International Conference on Computer-Aided Design
Fast statistical timing analysis for circuits with post-silicon tunable clock buffers
Proceedings of the International Conference on Computer-Aided Design
A master theorem for discrete divide and conquer recurrences
Proceedings of the twenty-second annual ACM-SIAM symposium on Discrete Algorithms
Fast statistical timing analysis of latch-controlled circuits for arbitrary clock periods
Proceedings of the International Conference on Computer-Aided Design
Computer Vision and Image Understanding
Level of repair analysis and minimum cost homomorphisms of graphs
AAIM'05 Proceedings of the First international conference on Algorithmic Applications in Management
Semantic-Based automated composition of distributed learning objects for personalized e-learning
ESWC'05 Proceedings of the Second European conference on The Semantic Web: research and Applications
Faster algorithms for δ,γ-matching and related problems
CPM'05 Proceedings of the 16th annual conference on Combinatorial Pattern Matching
MSCC: maximally stable corner clusters
SCIA'05 Proceedings of the 14th Scandinavian conference on Image Analysis
Identifying websites with flow simulation
ICWE'05 Proceedings of the 5th international conference on Web Engineering
Weighted bipartite matching in matrix multiplication time
ICALP'06 Proceedings of the 33rd international conference on Automata, Languages and Programming - Volume Part I
Optimally fast data gathering in sensor networks
MFCS'06 Proceedings of the 31st international conference on Mathematical Foundations of Computer Science
Sorting long sequences in a single hop radio network
MFCS'06 Proceedings of the 31st international conference on Mathematical Foundations of Computer Science
DISC'06 Proceedings of the 20th international conference on Distributed Computing
Distributed resource allocation in stream processing systems
DISC'06 Proceedings of the 20th international conference on Distributed Computing
Solving sparse linear constraints
IJCAR'06 Proceedings of the Third international joint conference on Automated Reasoning
Path relinking in pareto multi-objective genetic algorithms
EMO'05 Proceedings of the Third international conference on Evolutionary Multi-Criterion Optimization
MMAS'04 Proceedings of the First international conference on Massively Multi-Agent Systems
JuliusC: a practical approach for the analysis of divide-and-conquer algorithms
LCPC'04 Proceedings of the 17th international conference on Languages and Compilers for High Performance Computing
A local fusion method of temporal information
ECSQARU'05 Proceedings of the 8th European conference on Symbolic and Quantitative Approaches to Reasoning with Uncertainty
Time-Efficient model checking with magnetic disk
TACAS'05 Proceedings of the 11th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Timed accepting hybrid networks of evolutionary processors
IWINAC'05 Proceedings of the First international work-conference on the Interplay Between Natural and Artificial Computation conference on Artificial Intelligence and Knowledge Engineering Applications: a bioinspired approach - Volume Part II
Massively parallel breadth first search using a tree-structured memory model
Proceedings of the 2012 International Workshop on Programming Models and Applications for Multicores and Manycores
Computing branchwidth via efficient triangulations and blocks
WG'05 Proceedings of the 31st international conference on Graph-Theoretic Concepts in Computer Science
CAV'10 Proceedings of the 22nd international conference on Computer Aided Verification
Soundness of resource-constrained workflow nets
ICATPN'05 Proceedings of the 26th international conference on Applications and Theory of Petri Nets
Global curve analysis via a dimensionality lifting scheme
IMA'05 Proceedings of the 11th IMA international conference on Mathematics of Surfaces
Discovery of protein substructures in EM maps
WABI'05 Proceedings of the 5th International conference on Algorithms in Bioinformatics
An efficient decision procedure for UTVPI constraints
FroCoS'05 Proceedings of the 5th international conference on Frontiers of Combining Systems
A-FAST: autonomous flow approach to scheduling tasks
HiPC'04 Proceedings of the 11th international conference on High Performance Computing
The maximum agreement of two nested phylogenetic networks
ISAAC'04 Proceedings of the 15th international conference on Algorithms and Computation
Techniques for indexing and querying temporal observations for a collection of objects
ISAAC'04 Proceedings of the 15th international conference on Algorithms and Computation
Fuzzy segmentation of color video shots
DGCI'06 Proceedings of the 13th international conference on Discrete Geometry for Computer Imagery
SIAPAS: a case study on the use of a GPS-Based parking system
OTM'06 Proceedings of the 2006 international conference on On the Move to Meaningful Internet Systems: AWeSOMe, CAMS, COMINF, IS, KSinBIT, MIOS-CIAO, MONET - Volume Part I
ODBASE'06/OTM'06 Proceedings of the 2006 Confederated international conference on On the Move to Meaningful Internet Systems: CoopIS, DOA, GADA, and ODBASE - Volume Part II
Lookup table powered neural event-driven simulator
IWANN'05 Proceedings of the 8th international conference on Artificial Neural Networks: computational Intelligence and Bioinspired Systems
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part I
Predicate abstraction via symbolic decision procedures
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
Discrete bisector function and euclidean skeleton
DGCI'05 Proceedings of the 12th international conference on Discrete Geometry for Computer Imagery
Proof-producing congruence closure
RTA'05 Proceedings of the 16th international conference on Term Rewriting and Applications
The algebra of equality proofs
RTA'05 Proceedings of the 16th international conference on Term Rewriting and Applications
On trip planning queries in spatial databases
SSTD'05 Proceedings of the 9th international conference on Advances in Spatial and Temporal Databases
An incremental heap canonicalization algorithm
SPIN'05 Proceedings of the 12th international conference on Model Checking Software
Repairing structurally complex data
SPIN'05 Proceedings of the 12th international conference on Model Checking Software
Automatic generation of hints for symbolic traversal
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
Logic wrappers and XSLT transformations for tuples extraction from HTML
XSym'05 Proceedings of the Third international conference on Database and XML Technologies
Test generation for interaction detection in feature-rich communication systems
TestCom'05 Proceedings of the 17th IFIP TC6/WG 6.1 international conference on Testing of Communicating Systems
Pre-organizing Shape Instances for Landmark-Based Shape Correspondence
International Journal of Computer Vision
A detailed examination of the overlay construction and maintenance mechanism in BitTorrent
Computer Communications
Feature models, grammars, and propositional formulas
SPLC'05 Proceedings of the 9th international conference on Software Product Lines
Combining static analysis and model checking for systems employing commutative functions
FORTE'05 Proceedings of the 25th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Heuristically driven front propagation for geodesic paths extraction
VLSM'05 Proceedings of the Third international conference on Variational, Geometric, and Level Set Methods in Computer Vision
Geodesic image interpolation: parameterizing and interpolating spatiotemporal images
VLSM'05 Proceedings of the Third international conference on Variational, Geometric, and Level Set Methods in Computer Vision
Frameworks for logically classifying polynomial-time optimisation problems
CSR'10 Proceedings of the 5th international conference on Computer Science: theory and Applications
Effective dynamic voltage scaling through CPU-Boundedness detection
PACS'04 Proceedings of the 4th international conference on Power-Aware Computer Systems
Optimal clock synchronization under energy constraints in wireless ad-hoc networks
OPODIS'05 Proceedings of the 9th international conference on Principles of Distributed Systems
Fast algorithms for the free riders problem in broadcast encryption
CRYPTO'06 Proceedings of the 26th annual international conference on Advances in Cryptology
Fast and flexible difference constraint propagation for DPLL(T)
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
An axiomatization of arrays for kleene algebra with tests
RelMiCS'06/AKA'06 Proceedings of the 9th international conference on Relational Methods in Computer Science, and 4th international conference on Applications of Kleene Algebra
NaviMoz: mining navigational patterns in portal catalogs
EDBT'06 Proceedings of the 2006 international conference on Current Trends in Database Technology
Dinitz' algorithm: the original version and even's version
Theoretical Computer Science
On teaching fast adder designs: revisiting ladner & fischer
Theoretical Computer Science
Average schedule length and resource selection policies on computational grids
GPC'06 Proceedings of the First international conference on Advances in Grid and Pervasive Computing
Algorithms of discrete optimization and their application to problems with fuzzy coefficients
Information Sciences: an International Journal
Information and Computation
The complexity of flood-filling games on graphs
Discrete Applied Mathematics
Algorithms on extended (δ, γ)-matching
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part III
Fuzzy distance based hierarchical clustering calculated using the a∗ algorithm
IWCIA'06 Proceedings of the 11th international conference on Combinatorial Image Analysis
On novices' local views of algorithmic characteristics
ISSEP'06 Proceedings of the 2006 international conference on Informatics in Secondary Schools - Evolution and Perspectives: the Bridge between Using and Understanding Computers
An analysis of cyclical interdependencies in critical infrastructures
CRITIS'07 Proceedings of the Second international conference on Critical Information Infrastructures Security
Quantitative evaluation of grammaticality of summaries
CICLing'10 Proceedings of the 11th international conference on Computational Linguistics and Intelligent Text Processing
Efficient büchi universality checking
TACAS'10 Proceedings of the 16th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Merging data records on EREW PRAM
ICA3PP'10 Proceedings of the 10th international conference on Algorithms and Architectures for Parallel Processing - Volume Part II
The weighted spanning tree constraint revisited
CPAIOR'10 Proceedings of the 7th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Multi-scale integration of slope data on an irregular mesh
PSIVT'11 Proceedings of the 5th Pacific Rim conference on Advances in Image and Video Technology - Volume Part I
A decentralized traffic management approach for ambient networks environments
DSOM'05 Proceedings of the 16th IFIP/IEEE Ambient Networks international conference on Distributed Systems: operations and Management
New computation paradigm for modular exponentiation using a graph model
SAGA'05 Proceedings of the Third international conference on StochasticAlgorithms: foundations and applications
An exact ridge matching algorithm for fingerprint verification
IWBRS'05 Proceedings of the 2005 international conference on Advances in Biometric Person Authentication
Software protection through dynamic code mutation
WISA'05 Proceedings of the 6th international conference on Information Security Applications
Improving availability in large, distributed component-based systems via redeployment
CD'05 Proceedings of the Third international working conference on Component Deployment
Efficient computation of the relative entropy of probabilistic automata
LATIN'06 Proceedings of the 7th Latin American conference on Theoretical Informatics
LATIN'06 Proceedings of the 7th Latin American conference on Theoretical Informatics
Biological networks: comparison, conservation, and evolutionary trees
RECOMB'06 Proceedings of the 10th annual international conference on Research in Computational Molecular Biology
SDSAT: tight integration of small domain encoding and lazy approaches in a separation logic solver
TACAS'06 Proceedings of the 12th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Automata-Based verification of programs with tree updates
TACAS'06 Proceedings of the 12th international conference on Tools and Algorithms for the Construction and Analysis of Systems
A fresh look at PRE as a maximum flow problem
CC'06 Proceedings of the 15th international conference on Compiler Construction
SEMCCO'11 Proceedings of the Second international conference on Swarm, Evolutionary, and Memetic Computing - Volume Part I
Panorama weaving: fast and flexible seam processing
ACM Transactions on Graphics (TOG) - SIGGRAPH 2012 Conference Proceedings
Direct sparse factorization of blocked saddle point matrices
PARA'10 Proceedings of the 10th international conference on Applied Parallel and Scientific Computing - Volume 2
Algorithms for computing Best Coverage Path in the presence of obstacles in a sensor field
Journal of Discrete Algorithms
Mind the gap: A study of Tube tour
Computers and Operations Research
Mutual Information Optimization for Mass Spectra Data Alignment
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
WSEAS Transactions on Information Science and Applications
Mixed constraints for test input generation - An initial exploration
ASE '11 Proceedings of the 2011 26th IEEE/ACM International Conference on Automated Software Engineering
Automation and Remote Control
Formal Methods in System Design
SP 800-22 Rev. 1a. A Statistical Test Suite for Random and Pseudorandom Number Generators for Cryptographic Applications
A highway-centric labeling approach for answering distance queries on large sparse graphs
SIGMOD '12 Proceedings of the 2012 ACM SIGMOD International Conference on Management of Data
NHS: a tool for the automatic construction of news hypertext
IRSG'98 Proceedings of the 20th Annual BCS-IRSG conference on Information Retrieval Research
Bayesian learning for cardiac SPECT image interpretation
Artificial Intelligence in Medicine
Nash equilibria in concurrent priced games
LATA'12 Proceedings of the 6th international conference on Language and Automata Theory and Applications
Joint virtual path routing and capacity design for ATM networks
Computer Communications
A real-time multicast routing algorithm for multimedia applications
Computer Communications
A Scalable QoS-based inter-domain routing scheme in a high speed wide area network
Computer Communications
Research: A group multicast routing algorithm by using multiple minimum Steiner trees
Computer Communications
Proceedings of the 1st International Conference on Application and Theory of Automation in Command and Control Systems
AFSCN scheduling: How the problem and solution have evolved
Mathematical and Computer Modelling: An International Journal
On aggregation of normed structures
Mathematical and Computer Modelling: An International Journal
An efficient packet service algorithm for high-speed ATM switches
Computer Communications
Complexity results for Weighted Timed Event Graphs
Discrete Optimization
Improved bounds for vehicle routing solutions
Discrete Optimization
Cross-layer design for topology control and routing in MANETs
Wireless Communications & Mobile Computing
A hybrid evolutionary approach for solving the ontology alignment problem
International Journal of Intelligent Systems
Optimal and approximate bottleneck Steiner trees
Operations Research Letters
Constant-Power Bit Loading for Multicarrier Systems: BER-Allocation
Wireless Personal Communications: An International Journal
A Best Fit Relocation Approach for Heterogeneous Sensor Networks
Wireless Personal Communications: An International Journal
Reducing the history in decentralized interaction-based reputation systems
IFIP'12 Proceedings of the 11th international IFIP TC 6 conference on Networking - Volume Part II
TrustBets: betting over an IOU network
Proceedings of the 11th International Conference on Autonomous Agents and Multiagent Systems - Volume 3
Fully dynamic randomized algorithms for graph spanners
ACM Transactions on Algorithms (TALG)
A REVIEW OF TREE CONVEX SETS TEST
Computational Intelligence
Problems in the ontology of computer programs
Applied Ontology
Object segmentation in video via graph cut built on superpixels
Fundamenta Informaticae - Cognitive Informatics, Cognitive Computing, and Their Denotational Mathematical Foundations (II)
Link-state routing with hop-by-hop forwarding can achieve optimal traffic engineering
IEEE/ACM Transactions on Networking (TON)
Some Varieties of Finite Tree Automata Related to Restricted Temporal Logics
Fundamenta Informaticae
Fundamenta Informaticae
Computational Complexity of Simple P Systems
Fundamenta Informaticae
Solving SUBSET SUM by Spiking Neural P Systems with Pre-computed Resources
Fundamenta Informaticae
Algorithmic Approach to Devaney Chaos in Shift Spaces
Fundamenta Informaticae
A Logical Reasoning Approach to Automatic Composition of Stateless Components
Fundamenta Informaticae - Fundamentals of Software Engineering 2007: Selected Contributions
Homology computations via acyclic subspace
CTIC'12 Proceedings of the 4th international conference on Computational Topology in Image Context
Analysis of Approximate Petri Nets by Means of Occurrence Graphs
Fundamenta Informaticae - Special Issue on Concurrency Specification and Programming (CS&P)
A Generalization of the Assignment Problem, and its Application to the Rank Aggregation Problem
Fundamenta Informaticae
Graph orientations optimizing the number of light or heavy vertices
ISCO'12 Proceedings of the Second international conference on Combinatorial Optimization
A Simple and Scalable Algorithm for the IP Address Lookup Problem
Fundamenta Informaticae - Computing Patterns in Strings
New polynomial-time instances to various knapsack-type problems
Fundamenta Informaticae
Comparative performance evaluation of the AVL and red-black trees
Proceedings of the Fifth Balkan Conference in Informatics
The Watershed Transform: Definitions, Algorithms and Parallelization Strategies
Fundamenta Informaticae
From Optimal Hyperplanes to Optimal Decision Trees
Fundamenta Informaticae
International Journal of Ad Hoc and Ubiquitous Computing
A mixed graph model for community detection
International Journal of Intelligent Information and Database Systems
Stipple placement using distance in a weighted graph
Computational Aesthetics'07 Proceedings of the Third Eurographics conference on Computational Aesthetics in Graphics, Visualization and Imaging
Simulating non-lambertian phenomena involving linearly-varying luminaires
EGWR'01 Proceedings of the 12th Eurographics conference on Rendering
Tree Matrices and a Matrix Reduction Algorithm of Belitskii
Fundamenta Informaticae
The CQC algorithm: cycling in graphs to semantically enrich and enhance a bilingual dictionary
Journal of Artificial Intelligence Research
A Heuristic for reducing dynamic power dissipation in clocked sequential designs
PATMOS'07 Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation
Test input generation using dynamic programming
Proceedings of the ACM SIGSOFT 20th International Symposium on the Foundations of Software Engineering
Self-normalised distance with don't cares
CPM'07 Proceedings of the 18th annual conference on Combinatorial Pattern Matching
Deterministic length reduction: fast convolution in sparse data and applications
CPM'07 Proceedings of the 18th annual conference on Combinatorial Pattern Matching
Algorithms for computing the longest parameterized common subsequence
CPM'07 Proceedings of the 18th annual conference on Combinatorial Pattern Matching
Efficiently generating structurally complex inputs with thousands of objects
ECOOP'07 Proceedings of the 21st European conference on Object-Oriented Programming
Computing best coverage path in the presence of obstacles in a sensor field
WADS'07 Proceedings of the 10th international conference on Algorithms and Data Structures
Daily-deal selection for revenue maximization
Proceedings of the 21st ACM international conference on Information and knowledge management
A greedy algorithm for combined scheduling of computations and data exchanges in real-time systems
Journal of Computer and Systems Sciences International
Solution to the covering problem
Information Sciences: an International Journal
On the dynamic time warping of cyclic sequences for shape retrieval
Image and Vision Computing
Accelerating the dynamic programming for the optimal polygon triangulation on the GPU
ICA3PP'12 Proceedings of the 12th international conference on Algorithms and Architectures for Parallel Processing - Volume Part I
Polynomial-Time approximation schemes for shortest path with alternatives
ESA'12 Proceedings of the 20th Annual European conference on Algorithms
One-Step Look-Ahead Maximally Permissive Deadlock Control of AMS by Using Petri Nets
ACM Transactions on Embedded Computing Systems (TECS) - Special Issue on Modeling and Verification of Discrete Event Systems
On numerical solution of positional differential games with nonterminal payoff
Automation and Remote Control
A binary partition-based matching algorithm for data distribution management
Proceedings of the Winter Simulation Conference
Beyond Independence: An Extension of the A Contrario Decision Procedure
International Journal of Computer Vision
Multi objective integrated layout design problem
SEMCCO'12 Proceedings of the Third international conference on Swarm, Evolutionary, and Memetic Computing
Interactive authoring of simulation-ready plants
ACM Transactions on Graphics (TOG) - SIGGRAPH 2013 Conference Proceedings
Cyclo-static DataFlow phases scheduling optimization for buffer sizes minimization
Proceedings of the 16th International Workshop on Software and Compilers for Embedded Systems
Resolving the conflict between generality and plausibility in verified computation
Proceedings of the 8th ACM European Conference on Computer Systems
Neighbor Selection Game in Wireless Ad Hoc Networks
Wireless Personal Communications: An International Journal
On the weak prefix-search problem
Theoretical Computer Science
Scale filtered euclidean medial axis
DGCI'13 Proceedings of the 17th IAPR international conference on Discrete Geometry for Computer Imagery
Contribution to semantic analysis of Arabic language
Advances in Artificial Intelligence
Code specialization for red-black tree management algorithms
Proceedings of the 3rd International Workshop on Adaptive Self-Tuning Computing Systems
Toddler: detecting performance problems via similar memory-access patterns
Proceedings of the 2013 International Conference on Software Engineering
A Master Theorem for Discrete Divide and Conquer Recurrences
Journal of the ACM (JACM)
Automatic design rule correction in presence of multiple grids and track patterns
Proceedings of the 50th Annual Design Automation Conference
Secondary constructions of Boolean functions with maximum algebraic immunity
Cryptography and Communications
QUBiC: An adaptive approach to query-based recommendation
Journal of Intelligent Information Systems
Accurate and scalable nearest neighbors in large networks based on effective importance
Proceedings of the 22nd ACM international conference on Conference on information & knowledge management
One approach to data analysis in recognition problems on precedents
Pattern Recognition and Image Analysis
Dynamic Synchronous/Asynchronous Replication
ACM Transactions on Storage (TOS)
Data visualization and relevance feedback applied to information retrieval
Proceedings of the sixth workshop on Ph.D. students in information and knowledge management
Effectiveness of an implementation method for retrieving similar strings by trie structures
International Journal of Computer Applications in Technology
Similarity retrieval of angiogram images BASED on a flexible shape model
FIMH'13 Proceedings of the 7th international conference on Functional Imaging and Modeling of the Heart
Multi-target tracking on confidence maps: An application to people tracking
Computer Vision and Image Understanding
Approximation algorithms for the antenna orientation problem
FCT'13 Proceedings of the 19th international conference on Fundamentals of Computation Theory
The extended global cardinality constraint: an empirical survey (extended abstract)
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
An algorithm for constructing single machine schedules based on ant colony approach
Journal of Computer and Systems Sciences International
The notion of diversity in graphical entity summarisation on semantic knowledge graphs
Journal of Intelligent Information Systems
Fast Path Re-planning Based on Fast Marching and Level Sets
Journal of Intelligent and Robotic Systems
Planar CMOS to multi-gate layout conversion for maximal fin utilization
Integration, the VLSI Journal
Exploration and optimization of a homogeneous tree-based application specific inflexible FPGA
Microelectronics Journal
Improving deduplication techniques by accelerating remainder calculations
Discrete Applied Mathematics
Constraint optimization model of a scheduling problem for a robotic arm in automatic systems
Robotics and Autonomous Systems
A computational approach to construct a multivariate complete graph invariant
Information Sciences: an International Journal
Domain adaptation and sample bias correction theory and algorithm for regression
Theoretical Computer Science
A note on the complexity of minimum dominating set
Journal of Discrete Algorithms
Divisible transition systems and multiplanar dependency parsing
Computational Linguistics
Real-Time Data Delivery Using Prediction Mechanism in Mobile Environments
Wireless Personal Communications: An International Journal
Cybernetics and Systems Analysis
Extracting skeletal curves from 3D scattered data
The Visual Computer: International Journal of Computer Graphics
Scheduling modular projects on a bottleneck resource
Journal of Scheduling
Survey of Clustering: Algorithms and Applications
International Journal of Information Retrieval Research
Parallel Algorithms for the Circuit Value Update Problem
Theory of Computing Systems
Automated generation of control skeletons for use in animation
The Visual Computer: International Journal of Computer Graphics
Making DNA Expressions Minimal
Fundamenta Informaticae
On the Computational Complexity of Bongartz's Algorithm
Fundamenta Informaticae
Hi-index | 0.52 |