Two-phase fine-grain sleep transistor insertion technique in leakage critical circuits

  • Authors:
  • Yu Wang;Ku He;Rong Luo;Hui Wang;Huazhong Yang

  • Affiliations:
  • Circuits and Systems Division, Electronics Engineering Department, Tsinghua University, Beijing, China;Circuits and Systems Division, Electronics Engineering Department, Tsinghua University, Beijing, China;Circuits and Systems Division, Electronics Engineering Department, Tsinghua University, Beijing, China;Circuits and Systems Division, Electronics Engineering Department, Tsinghua University, Beijing, China;Circuits and Systems Division, Electronics Engineering Department, Tsinghua University, Beijing, China

  • Venue:
  • IEEE Transactions on Very Large Scale Integration (VLSI) Systems
  • Year:
  • 2008

Quantified Score

Hi-index 0.00

Visualization

Abstract

Sleep transistor (ST) insertion is a valuable leakage reduction technique in circuit standby mode. Fine-grain sleep transistor insertion (FGSTI) makes it easier to guarantee circuit functionality and improve circuit noise margins. In this paper, we introduce a novel two-phase FGSTI technique which consists of ST placement and ST sizing. These two phases are formally modeled using mixed integer linear programming (MILP) models. When the circuit timing relaxation is not large enough to assign ST everywhere, leakage feedback (LF) gates, which are used to avoid floating states, induce large area and dynamic power overhead. An extended multi-object ST placement model is further proposed to reduce the leakage current and the LF gate number simultaneously. Finally, heuristic algorithms are developed to speed up the ST placement phase. Our experimental results on the ISCAS'85 benchmarks reveal that: 1) the two-phase FGSTI technique achieves better results than the simultaneous ST placement and sizing method; 2) when the circuit timing relaxation varies from 0% to 5%, the multi-object ST placement model can achieve on average 4 ×-9 × LF gate number reduction, while the leakage difference is only about 8% of original circuit leakage; 3) our heuristic algorithm is 1000× faster than the MILP method within an acceptable loss of accuracy.