UST/DME: a clock tree router for general skew constraints

  • Authors:
  • Chung-Wen Albert Tsao;Cheng-Kok Koh

  • Affiliations:
  • Ultima Interconnect Technology, Sunnyvale, CA;Purdue University, West Lafayette, IN

  • Venue:
  • Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
  • Year:
  • 2000

Quantified Score

Hi-index 0.00

Visualization

Abstract

In this paper, we propose new approaches for solving the useful-skew tree (UST) routing problem [17]: Clock routing subject to general skew constraints. The clock layout synthesis engine of our UST algorithms is based on the deferred-merge embedding (DME) paradigm for zero-skew tree [5; 1] and bounded-skew tree [8; 2] routings; hence, the names UST/DME and Greedy-UST/DME for our algorithms. They simultaneously perform skew scheduling and tree routing such that each local skew range is incrementally refined to a skew value that minimizes the wirelength during the bottom-up merging phase of DME. The resulting skew schedule is not only feasible, but is also best for routing in terms of wirelength. The experimental results show very encouraging improvement over the previous BST/DME algorithm on three ISCAS89 benchmarks under general skew constraints in terms of total wirelength.