On understanding types, data abstraction, and polymorphism
ACM Computing Surveys (CSUR) - The MIT Press scientific computation series
Advanced compiler optimizations for supercomputers
Communications of the ACM - Special issue on parallelism
ACM SIGACT News
A globalizing transformation for attribute grammars
SIGPLAN '86 Proceedings of the 1986 SIGPLAN symposium on Compiler construction
EPIC - a retargetable, highly optimizing Lisp compiler
SIGPLAN '86 Proceedings of the 1986 SIGPLAN symposium on Compiler construction
SIGPLAN '86 Proceedings of the 1986 SIGPLAN symposium on Compiler construction
A retargetable instruction reorganizer
SIGPLAN '86 Proceedings of the 1986 SIGPLAN symposium on Compiler construction
The program dependence graph and its use in optimization
ACM Transactions on Programming Languages and Systems (TOPLAS)
A survey of three dialogue models
ACM Transactions on Graphics (TOG)
An incremental algorithm for software analysis
SDE 2 Proceedings of the second ACM SIGSOFT/SIGPLAN software engineering symposium on Practical software development environments
ACM SIGART Bulletin
ACM SIGSMALL/PC Notes
Incremental re-execution of programs
SIGPLAN '87 Papers of the Symposium on Interpreters and interpretive techniques
TOOLS: a unifying approach to object-oriented language interpretation
SIGPLAN '87 Papers of the Symposium on Interpreters and interpretive techniques
A Fortran 77 interpreter for mutation analysis
SIGPLAN '87 Papers of the Symposium on Interpreters and interpretive techniques
How many addressing modes are enough?
ASPLOS II Proceedings of the second international conference on Architectual support for programming languages and operating systems
Superoptimizer: a look at the smallest program
ASPLOS II Proceedings of the second international conference on Architectual support for programming languages and operating systems
Algorithm specification in a very high level language
ACM '87 Proceedings of the 1987 Fall Joint Computer Conference on Exploring technology: today and tomorrow
Incremental data-flow analysis algorithms
ACM Transactions on Programming Languages and Systems (TOPLAS)
Efficient and correct execution of parallel programs that share memory
ACM Transactions on Programming Languages and Systems (TOPLAS)
Denotational semantics for program analysis
ACM SIGPLAN Notices
y+: A yacc preprocessor for certain semantic actions
ACM SIGPLAN Notices
IEEE Transactions on Software Engineering
ACM SIGPLAN Notices
Superscalar vs. superpipelined machines
ACM SIGARCH Computer Architecture News
Compiler Optimizations for Enhancing Parallelism and Their Impact on Architecture Design
IEEE Transactions on Computers - Special issue on architectural support for programming languages and operating systems
Program Translation Via Abstraction and Reimplementation
IEEE Transactions on Software Engineering
Exploiting parallel microprocessor microarchitectures with a compiler code generator
ISCA '88 Proceedings of the 15th Annual International Symposium on Computer architecture
The white dwarf: a high-performance application-specific processor
ISCA '88 Proceedings of the 15th Annual International Symposium on Computer architecture
A cache coherence scheme with fast selective invalidation
ISCA '88 Proceedings of the 15th Annual International Symposium on Computer architecture
Introducing finite automata in the first course
SIGCSE '88 Proceedings of the nineteenth SIGCSE technical symposium on Computer science education
Minimizing register usage penalty at procedure calls
PLDI '88 Proceedings of the ACM SIGPLAN 1988 conference on Programming Language design and Implementation
DOC: a practical approach to source-level debugging of globally optimized code
PLDI '88 Proceedings of the ACM SIGPLAN 1988 conference on Programming Language design and Implementation
Semantic analysis in a concurrent compiler
PLDI '88 Proceedings of the ACM SIGPLAN 1988 conference on Programming Language design and Implementation
Unfold/fold transformations and loop optimization of logic programs
PLDI '88 Proceedings of the ACM SIGPLAN 1988 conference on Programming Language design and Implementation
A portable global optimizer and linker
PLDI '88 Proceedings of the ACM SIGPLAN 1988 conference on Programming Language design and Implementation
A framework for determining useful parallelism
ICS '88 Proceedings of the 2nd international conference on Supercomputing
Introducing symbolic problem solving techniques in the dependence testing phases of a vectorizer
ICS '88 Proceedings of the 2nd international conference on Supercomputing
ICS '88 Proceedings of the 2nd international conference on Supercomputing
Code scheduling and register allocation in large basic blocks
ICS '88 Proceedings of the 2nd international conference on Supercomputing
Lazy evaluation and the logic variable
ICS '88 Proceedings of the 2nd international conference on Supercomputing
Generating sequential code from parallel code
ICS '88 Proceedings of the 2nd international conference on Supercomputing
A grammar-based approach to automatic generation of user-interface dialogues
CHI '88 Proceedings of the SIGCHI Conference on Human Factors in Computing Systems
A Simplified Framework for Reduction in Strength
IEEE Transactions on Software Engineering
A simple interprocedural register allocation algorithm and its effectiveness for LISP
ACM Transactions on Programming Languages and Systems (TOPLAS)
Trace scheduling optimization in a retargetable microcode compiler
ACM SIGMICRO Newsletter
A canonical form for parallel programs
C3P Proceedings of the third conference on Hypercube concurrent computers and applications: Architecture, software, computer systems, and general issues - Volume 1
Trace selection for compiling large C application programs to microcode
MICRO 21 Proceedings of the 21st annual workshop on Microprogramming and microarchitecture
DOCPROCS '88 Proceedings of the ACM conference on Document processing systems
Parallelizing a database programming language
DPDS '88 Proceedings of the first international symposium on Databases in parallel and distributed systems
A data-flow driven resource allocation in a retargetable microcode compiler
MICRO 21 Proceedings of the 21st annual workshop on Microprogramming and microarchitecture
A microprogramming support tool for pipelined architectures
MICRO 21 Proceedings of the 21st annual workshop on Microprogramming and microarchitecture
Compiling issues for supercomputers
Proceedings of the 1988 ACM/IEEE conference on Supercomputing
CRegs: a new kind of memory for referencing arrays and pointers
Proceedings of the 1988 ACM/IEEE conference on Supercomputing
Integrating noninterfering versions of programs
ACM Transactions on Programming Languages and Systems (TOPLAS)
Targeting a traditional compiler to a distributed environment
ACM SIGAda Ada Letters
Formalization of the control stack
ACM SIGPLAN Notices
NARPL: A solution to the student compiler project problem
ACM SIGPLAN Notices
Using an architectural knowledge base to generate code for parallel computers
Communications of the ACM - Special issue: multiprocessing
The fuzzy barrier: a mechanism for high speed synchronization of processors
ASPLOS III Proceedings of the third international conference on Architectural support for programming languages and operating systems
Data buffering: run-time versus compile-time support
ASPLOS III Proceedings of the third international conference on Architectural support for programming languages and operating systems
Available instruction-level parallelism for superscalar and superpipelined machines
ASPLOS III Proceedings of the third international conference on Architectural support for programming languages and operating systems
Limits on multiple instruction issue
ASPLOS III Proceedings of the third international conference on Architectural support for programming languages and operating systems
A Note on Detecting Sneak Paths in Transistor Networks
IEEE Transactions on Computers
Static analysis of low-level synchronization
PADD '88 Proceedings of the 1988 ACM SIGPLAN and SIGOPS workshop on Parallel and distributed debugging
The syntax definition formalism SDF—reference manual—
ACM SIGPLAN Notices
An Efficient Implementation of Static String Pattern Matching Machines
IEEE Transactions on Software Engineering
Efficient parallel algorithms for path problems in directed graphs
SPAA '89 Proceedings of the first annual ACM symposium on Parallel algorithms and architectures
Towards dataflow analysis of communicating finite state machines
Proceedings of the eighth annual ACM Symposium on Principles of distributed computing
Automatic binding time analysis for a typed &lgr;-calculus
POPL '88 Proceedings of the 15th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Integrating non-intering versions of programs
POPL '88 Proceedings of the 15th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
On the adequacy of program dependence graphs for representing programs
POPL '88 Proceedings of the 15th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Efficient dataflow analysis of logic programs
POPL '88 Proceedings of the 15th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Incremental data flow analysis via dominator and attribute update
POPL '88 Proceedings of the 15th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
SIGGRAPH '89 Proceedings of the 16th annual conference on Computer graphics and interactive techniques
A code optimization package for REDUCE
ISSAC '89 Proceedings of the ACM-SIGSAM 1989 international symposium on Symbolic and algebraic computation
A philosophy for scientific computing tools
ACM SIGNUM Newsletter
The semantics of program dependence
PLDI '89 Proceedings of the ACM SIGPLAN 1989 Conference on Programming language design and implementation
Dependence analysis for pointer variables
PLDI '89 Proceedings of the ACM SIGPLAN 1989 Conference on Programming language design and implementation
Experience with CST: programming and implementation
PLDI '89 Proceedings of the ACM SIGPLAN 1989 Conference on Programming language design and implementation
PLDI '89 Proceedings of the ACM SIGPLAN 1989 Conference on Programming language design and implementation
An LR substring parser for noncorrecting syntax error recovery
PLDI '89 Proceedings of the ACM SIGPLAN 1989 Conference on Programming language design and implementation
Scannerless NSLR(1) parsing of programming languages
PLDI '89 Proceedings of the ACM SIGPLAN 1989 Conference on Programming language design and implementation
Incremental generation of parsers
PLDI '89 Proceedings of the ACM SIGPLAN 1989 Conference on Programming language design and implementation
Determining average program execution times and their variance
PLDI '89 Proceedings of the ACM SIGPLAN 1989 Conference on Programming language design and implementation
Unified management of registers and cache using liveness and cache bypass
PLDI '89 Proceedings of the ACM SIGPLAN 1989 Conference on Programming language design and implementation
An efficient implementation of SELF a dynamically-typed object-oriented language based on prototypes
OOPSLA '89 Conference proceedings on Object-oriented programming systems, languages and applications
An APL-tutoring adventure game
APL '89 Conference proceedings on APL as a tool of thought
POPL '89 Proceedings of the 16th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
A practical approach to semantic configuration management
TAV3 Proceedings of the ACM SIGSOFT '89 third symposium on Software testing, analysis, and verification
Interprocedual data flow testing
TAV3 Proceedings of the ACM SIGSOFT '89 third symposium on Software testing, analysis, and verification
The implications of program dependencies for software testing, debugging, and maintenance
TAV3 Proceedings of the ACM SIGSOFT '89 third symposium on Software testing, analysis, and verification
“Combining” as a compilation technique for VLIW architectures
MICRO 22 Proceedings of the 22nd annual workshop on Microprogramming and microarchitecture
A loop optimization technique based on scheduling table
MICRO 22 Proceedings of the 22nd annual workshop on Microprogramming and microarchitecture
On reordering instruction streams for pipelined computers
MICRO 22 Proceedings of the 22nd annual workshop on Microprogramming and microarchitecture
Using an architectural knowledge base to generate code for parallel computers
CSC '89 Proceedings of the 17th conference on ACM Annual Computer Science Conference
An Efficient Digital Search Algorithm by Using a Double-Array Structure
IEEE Transactions on Software Engineering
Code generation using tree matching and dynamic programming
ACM Transactions on Programming Languages and Systems (TOPLAS)
An Incremental Version of Iterative Data Flow Analysis
IEEE Transactions on Software Engineering
Automatic vectorization of character string manipulation and relational operations in Pascal
Proceedings of the 1989 ACM/IEEE conference on Supercomputing
Proceedings of the 1989 ACM/IEEE conference on Supercomputing
Parallel processor balance through loop spreading
Proceedings of the 1989 ACM/IEEE conference on Supercomputing
Passes, sweeps, and visits in attribute grammars
Journal of the ACM (JACM)
On the Minimization of Loads/Stores in Local Register Allocation
IEEE Transactions on Software Engineering
Interprocedural slicing using dependence graphs
ACM Transactions on Programming Languages and Systems (TOPLAS)
Production trees: a compact representation of parsed programs
ACM Transactions on Programming Languages and Systems (TOPLAS)
Static Analysis of Real-Time Distributed Systems
IEEE Transactions on Software Engineering
Region Scheduling: An Approach for Detecting and Redistributing Parallelism
IEEE Transactions on Software Engineering
Profiling an Incremental Data Flow Analysis Algorithm
IEEE Transactions on Software Engineering
Efficient evaluation of circular attribute grammars
ACM Transactions on Programming Languages and Systems (TOPLAS)
A Language for Specifying Program Transformations
IEEE Transactions on Software Engineering
A Critical Analysis of Incremental Iterative Data Flow Analysis Algorithms
IEEE Transactions on Software Engineering
Efficient stack allocation for tail-recursive languages
LFP '90 Proceedings of the 1990 ACM conference on LISP and functional programming
Partial evaluation applied to numerical computation
LFP '90 Proceedings of the 1990 ACM conference on LISP and functional programming
LFP '90 Proceedings of the 1990 ACM conference on LISP and functional programming
Binding time analysis for high order untyped functional languages
LFP '90 Proceedings of the 1990 ACM conference on LISP and functional programming
Type-dependent parameter inference
PLDI '90 Proceedings of the ACM SIGPLAN 1990 conference on Programming language design and implementation
PLDI '90 Proceedings of the ACM SIGPLAN 1990 conference on Programming language design and implementation
Identifying the semantic and textual differences between two versions of a program
PLDI '90 Proceedings of the ACM SIGPLAN 1990 conference on Programming language design and implementation
PLDI '90 Proceedings of the ACM SIGPLAN 1990 conference on Programming language design and implementation
A fresh look at optimizing array bound checking
PLDI '90 Proceedings of the ACM SIGPLAN 1990 conference on Programming language design and implementation
Compiling programs for a linear systolic array
PLDI '90 Proceedings of the ACM SIGPLAN 1990 conference on Programming language design and implementation
An efficient hybrid algorithm for incremental data flow analysis
POPL '90 Proceedings of the 17th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Program transformation in the presence of errors
POPL '90 Proceedings of the 17th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
A foundation for sequentializing parallel code
SPAA '90 Proceedings of the second annual ACM symposium on Parallel algorithms and architectures
Acquisition of a qualitative model
IEA/AIE '90 Proceedings of the 3rd international conference on Industrial and engineering applications of artificial intelligence and expert systems - Volume 1
Analysis of event synchronization in a parallel programming tool
PPOPP '90 Proceedings of the second ACM SIGPLAN symposium on Principles & practice of parallel programming
An approach to ordering optimizing transformations
PPOPP '90 Proceedings of the second ACM SIGPLAN symposium on Principles & practice of parallel programming
Incremental Generation of Parsers
IEEE Transactions on Software Engineering
An extensible programming environment for Modula-3
SDE 4 Proceedings of the fourth ACM SIGSOFT symposium on Software development environments
A multi-formalism specification environment
SDE 4 Proceedings of the fourth ACM SIGSOFT symposium on Software development environments
Replacing function parameters by global variables
FPCA '89 Proceedings of the fourth international conference on Functional programming languages and computer architecture
Compilation as partitioning: a new approach to compiling non-strict functional languages
FPCA '89 Proceedings of the fourth international conference on Functional programming languages and computer architecture
Transformations on higher-order functions
FPCA '89 Proceedings of the fourth international conference on Functional programming languages and computer architecture
The spineless tagless G-machine
FPCA '89 Proceedings of the fourth international conference on Functional programming languages and computer architecture
Parsers and printers as stream destructors and constructors embedded in functional languages
FPCA '89 Proceedings of the fourth international conference on Functional programming languages and computer architecture
Automatic construction of sparse data flow evaluation graphs
POPL '91 Proceedings of the 18th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Dependence flow graphs: an algebraic approach to program dependencies
POPL '91 Proceedings of the 18th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Program optimization and parallelization using idioms
POPL '91 Proceedings of the 18th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Minimizing ripple recompilation in a persistent software environment
CSC '90 Proceedings of the 1990 ACM annual conference on Cooperation
A parallel compilation technique based on grammar partitioning
CSC '90 Proceedings of the 1990 ACM annual conference on Cooperation
LEXICAL_ANALYZER_G: a multi-language lexical analysis package
ACM SIGAda Ada Letters
IEEE Transactions on Software Engineering
Compilation of functional languages by program transformation
ACM Transactions on Programming Languages and Systems (TOPLAS)
Constant propagation with conditional branches
ACM Transactions on Programming Languages and Systems (TOPLAS)
What every computer scientist should know about floating-point arithmetic
ACM Computing Surveys (CSUR)
STOC '91 Proceedings of the twenty-third annual ACM symposium on Theory of computing
Architectural support for reduced register saving/restoring in single-window register files
ACM Transactions on Computer Systems (TOCS)
A variable instruction stream extension to the VLIW architecture
ASPLOS IV Proceedings of the fourth international conference on Architectural support for programming languages and operating systems
Code generation for streaming: an access/execute mechanism
ASPLOS IV Proceedings of the fourth international conference on Architectural support for programming languages and operating systems
The hierarchical task graph and its use in auto-scheduling
ICS '91 Proceedings of the 5th international conference on Supercomputing
PATCH—a new algorithm for rapid incremental dependence analysis
ICS '91 Proceedings of the 5th international conference on Supercomputing
Optimal schedules for parallel prefix computation with bounded resources
PPOPP '91 Proceedings of the third ACM SIGPLAN symposium on Principles and practice of parallel programming
Improving instruction cache behavior by reducing cache pollution
Proceedings of the 1990 ACM/IEEE conference on Supercomputing
Parallelization of loops with exits on pipelined architectures
Proceedings of the 1990 ACM/IEEE conference on Supercomputing
Architectural support for register allocation in the presence of aliasing
Proceedings of the 1990 ACM/IEEE conference on Supercomputing
Performing data flow analysis in parallel
Proceedings of the 1990 ACM/IEEE conference on Supercomputing
CCG: a prototype coagulating code generator
PLDI '91 Proceedings of the ACM SIGPLAN 1991 conference on Programming language design and implementation
Predicting program behavior using real or estimated profiles
PLDI '91 Proceedings of the ACM SIGPLAN 1991 conference on Programming language design and implementation
Tag-free garbage collection for strongly typed programming languages
PLDI '91 Proceedings of the ACM SIGPLAN 1991 conference on Programming language design and implementation
On performance and space usage improvements for parallelized compiled APL code
APL '91 Proceedings of the international conference on APL '91
High Performance Software Testing on SIMD Machines
IEEE Transactions on Software Engineering
Efficiently computing static single assignment form and the control dependence graph
ACM Transactions on Programming Languages and Systems (TOPLAS)
Techniques for debugging parallel programs with flowback analysis
ACM Transactions on Programming Languages and Systems (TOPLAS)
Detecting static algorithms by partial evaluation
PEPM '91 Proceedings of the 1991 ACM SIGPLAN symposium on Partial evaluation and semantics-based program manipulation
Verifying the correctness of compiler transformations on basic blocks using abstract interpretation
PEPM '91 Proceedings of the 1991 ACM SIGPLAN symposium on Partial evaluation and semantics-based program manipulation
A syntactic approach to program transformations
PEPM '91 Proceedings of the 1991 ACM SIGPLAN symposium on Partial evaluation and semantics-based program manipulation
Binding time analysis: a new PERspective
PEPM '91 Proceedings of the 1991 ACM SIGPLAN symposium on Partial evaluation and semantics-based program manipulation
Globalization and live variables
PEPM '91 Proceedings of the 1991 ACM SIGPLAN symposium on Partial evaluation and semantics-based program manipulation
IMPACT: an architectural framework for multiple-instruction-issue processors
ISCA '91 Proceedings of the 18th annual international symposium on Computer architecture
ACM Transactions on Programming Languages and Systems (TOPLAS)
Table compression for tree automata
ACM Transactions on Programming Languages and Systems (TOPLAS)
Data flow analysis of communicating finite state machines
ACM Transactions on Programming Languages and Systems (TOPLAS)
Concurrency analysis in the presence of procedures using a data-flow framework
TAV4 Proceedings of the symposium on Testing, analysis, and verification
Loop monotonic computations: an approach for the efficient run-time detection of races
TAV4 Proceedings of the symposium on Testing, analysis, and verification
The effects of optimizing transformations on data-flow adequate test sets
TAV4 Proceedings of the symposium on Testing, analysis, and verification
IBM Systems Journal
Pragmatic parsing in Common Lisp; or, putting defmacro on steroids
ACM SIGPLAN Lisp Pointers
SYNTAX_ANALYSER_G: a multi-language syntax analysis package
ACM SIGAda Ada Letters
ACM SIGPLAN Notices
ACM SIGPLAN Notices
Automated generation of code using backtracking parsers for attribute grammars
ACM SIGPLAN Notices
Generation and recognition of formal languages by modifiable grammars
ACM SIGPLAN Notices
HARE: an optimizing portable compiler for Scheme
ACM SIGPLAN Notices
Structured programming with limited private types in Ada: nesting if for the soaring eagles
ACM SIGAda Ada Letters
Constraint sensitive scheduling in RASP
ACM SIGDA Newsletter
Substring parsing for arbitrary context-free grammars
ACM SIGPLAN Notices
A retargetable compiler for ANSI C
ACM SIGPLAN Notices
Balancing runtime and replay costs in a trace-and-replay system
PADD '91 Proceedings of the 1991 ACM/ONR workshop on Parallel and distributed debugging
Source level debugging of automatically parallelized code
PADD '91 Proceedings of the 1991 ACM/ONR workshop on Parallel and distributed debugging
The FSM network model for behavioral synthesis of control-dominated machines
DAC '90 Proceedings of the 27th ACM/IEEE Design Automation Conference
Implementation optimization techniques for architecture synthesis of application-specific processors
MICRO 24 Proceedings of the 24th annual international symposium on Microarchitecture
A new technique for induction variable removal
MICRO 24 Proceedings of the 24th annual international symposium on Microarchitecture
Pointer target tracking—an empirical study
Proceedings of the 1991 ACM/IEEE conference on Supercomputing
Using Lookahead to reduce memory bank contention for decoupled operand references
Proceedings of the 1991 ACM/IEEE conference on Supercomputing
Vectorizing C compilers: how good are they?
Proceedings of the 1991 ACM/IEEE conference on Supercomputing
Distributed Instruction Set Computer Architecture
IEEE Transactions on Computers
An integrated memory management scheme for dynamic alias resolution
Proceedings of the 1991 ACM/IEEE conference on Supercomputing
A semantics-directed partitioning of a processor architecture
Proceedings of the 1991 ACM/IEEE conference on Supercomputing
Software Specialization Via Symbolic Execution
IEEE Transactions on Software Engineering
Debugging of optimized Ada code
TRI-Ada '91 Proceedings of the conference on TRI-Ada '91: today's accomplishments; tomorrow's expectations
Automatic instantiation in Ada
TRI-Ada '91 Proceedings of the conference on TRI-Ada '91: today's accomplishments; tomorrow's expectations
Detecting redundant accesses to array data
Proceedings of the 1991 ACM/IEEE conference on Supercomputing
Data-path synthesis using path analysis
DAC '91 Proceedings of the 28th ACM/IEEE Design Automation Conference
A Four Russians algorithm for regular expression pattern matching
Journal of the ACM (JACM)
Incremental global reoptimization of programs
ACM Transactions on Programming Languages and Systems (TOPLAS)
Experience with a software-defined machine architecture
ACM Transactions on Programming Languages and Systems (TOPLAS)
Subprogram Inlining: A Study of its Effects on Program Execution Time
IEEE Transactions on Software Engineering
Generation of Interactive Parsers with Error Handling
IEEE Transactions on Software Engineering
Precise and efficient integration of interprocedural alias information into data-flow analysis
ACM Letters on Programming Languages and Systems (LOPLAS)
An object-oriented specification for compiler
ACM SIGPLAN Notices
Four Dimensions of programming-language independence
ACM SIGPLAN Notices
The MSL compiler writing project
ACM SIGCSE Bulletin
Scanning regular languages by dual finite automata
ACM SIGPLAN Notices
ACM SIGPLAN Notices
A bibliography on syntax error handling in context free languages
ACM SIGPLAN Notices
An object-oriented specification and its generation for compiler
CSC '92 Proceedings of the 1992 ACM annual conference on Communications
A program integration algorithm that accommodates semantics-preserving transformations
ACM Transactions on Software Engineering and Methodology (TOSEM)
Incremental generation of lexical scanners
ACM Transactions on Programming Languages and Systems (TOPLAS)
Type matching, type-graphs, and the Schanuel conjecture
ACM Transactions on Programming Languages and Systems (TOPLAS)
SPARE: A Development Environment for Program Analysis Algorithms
IEEE Transactions on Software Engineering
The expandable split window paradigm for exploiting fine-grain parallelsim
ISCA '92 Proceedings of the 19th annual international symposium on Computer architecture
Instruction-level parallelism in Prolog: analysis and architectural support
ISCA '92 Proceedings of the 19th annual international symposium on Computer architecture
Dynamic parsers and evolving grammars
ACM SIGPLAN Notices
Macro processing in high-level languages
ACM SIGPLAN Notices
LFP '92 Proceedings of the 1992 ACM conference on LISP and functional programming
Finiteness conditions for fixed point iteration
LFP '92 Proceedings of the 1992 ACM conference on LISP and functional programming
Automatic partitioning of a program dependence graph into parallel tasks
IBM Journal of Research and Development
Industrial strength compiler construction with equations
ACM SIGPLAN Notices
Probabilistic state machines: dialog management for inputs with uncertainty
UIST '92 Proceedings of the 5th annual ACM symposium on User interface software and technology
Sharlit—a tool for building optimizers
PLDI '92 Proceedings of the ACM SIGPLAN 1992 conference on Programming language design and implementation
PLDI '92 Proceedings of the ACM SIGPLAN 1992 conference on Programming language design and implementation
How to analyze large programs efficiently and informatively
PLDI '92 Proceedings of the ACM SIGPLAN 1992 conference on Programming language design and implementation
PLDI '92 Proceedings of the ACM SIGPLAN 1992 conference on Programming language design and implementation
POPL '92 Proceedings of the 19th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Unboxed objects and polymorphic typing
POPL '92 Proceedings of the 19th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Recognizing substrings of LR(k) languages in linear time
POPL '92 Proceedings of the 19th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Generalized dominators and post-dominators
POPL '92 Proceedings of the 19th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
ADIFOR: Automatic differentiation in a source translator environment
ISSAC '92 Papers from the international symposium on Symbolic and algebraic computation
An architectural framework for migration from CISC to higher performance platforms
ICS '92 Proceedings of the 6th international conference on Supercomputing
A comprehensive approach to parallel data flow analysis
ICS '92 Proceedings of the 6th international conference on Supercomputing
Array privatization for parallel execution of loops
ICS '92 Proceedings of the 6th international conference on Supercomputing
ICS '92 Proceedings of the 6th international conference on Supercomputing
Speedup of band linear recurrences in the presence of resource constraints
ICS '92 Proceedings of the 6th international conference on Supercomputing
Sentinel scheduling for VLIW and superscalar processors
ASPLOS V Proceedings of the fifth international conference on Architectural support for programming languages and operating systems
Efficient superscalar performance through boosting
ASPLOS V Proceedings of the fifth international conference on Architectural support for programming languages and operating systems
Ada outperforms assembly: a case study
TRI-Ada '92 Proceedings of the conference on TRI-Ada '92
APL '92 Proceedings of the international conference on APL
An efficient resource-constrained global scheduling technique for superscalar and VLIW processors
MICRO 25 Proceedings of the 25th annual international symposium on Microarchitecture
Microarchitecture support for dynamic scheduling of acyclic task graphs
MICRO 25 Proceedings of the 25th annual international symposium on Microarchitecture
Enhanced modulo scheduling for loops with conditional branches
MICRO 25 Proceedings of the 25th annual international symposium on Microarchitecture
Efficient dataflow analysis of logic programs
Journal of the ACM (JACM)
Compiling Real-Time Specifications into Extended Automata
IEEE Transactions on Software Engineering - Special issue: specification and analysis of real-time systems
Program Concept Recognition and Transformation
IEEE Transactions on Software Engineering - Special issue on software maintenance
A study of partitioned vector register files
Proceedings of the 1992 ACM/IEEE conference on Supercomputing
A visualization system for parallelizing programs
Proceedings of the 1992 ACM/IEEE conference on Supercomputing
Loop distribution with multiple exits
Proceedings of the 1992 ACM/IEEE conference on Supercomputing
Interprocedural compilation of Fortran D for MIMD distributed-memory machines
Proceedings of the 1992 ACM/IEEE conference on Supercomputing
Compiler code transformations for superscalar-based high performance systems
Proceedings of the 1992 ACM/IEEE conference on Supercomputing
Synthesis from production-based specifications
DAC '92 Proceedings of the 29th ACM/IEEE Design Automation Conference
Recurrence equations and the optimization of synchronous logic circuits
DAC '92 Proceedings of the 29th ACM/IEEE Design Automation Conference
The maintenance of intermediate values in goal-directed evaluation
ACM Letters on Programming Languages and Systems (LOPLAS)
No assembly required: compiling standard ML to C
ACM Letters on Programming Languages and Systems (LOPLAS)
Optimization of array subscript range checks
ACM Letters on Programming Languages and Systems (LOPLAS)
Engineering a simple, efficient code-generator generator
ACM Letters on Programming Languages and Systems (LOPLAS)
ACM Letters on Programming Languages and Systems (LOPLAS)
Automated assistance for program restructuring
ACM Transactions on Software Engineering and Methodology (TOSEM)
A methodology for controlling the size of a test suite
ACM Transactions on Software Engineering and Methodology (TOSEM)
ACM SIGPLAN Notices
Mutation analysis using mutant schemata
ISSTA '93 Proceedings of the 1993 ACM SIGSOFT international symposium on Software testing and analysis
Partial evaluation of general parsers
PEPM '93 Proceedings of the 1993 ACM SIGPLAN symposium on Partial evaluation and semantics-based program manipulation
PEPM '93 Proceedings of the 1993 ACM SIGPLAN symposium on Partial evaluation and semantics-based program manipulation
Abstract debugging of higher-order imperative languages
PLDI '93 Proceedings of the ACM SIGPLAN 1993 conference on Programming language design and implementation
Interprocedural modification side effect analysis with pointer aliasing
PLDI '93 Proceedings of the ACM SIGPLAN 1993 conference on Programming language design and implementation
A practical data flow framework for array reference analysis and its use in optimizations
PLDI '93 Proceedings of the ACM SIGPLAN 1993 conference on Programming language design and implementation
Dependence-based program analysis
PLDI '93 Proceedings of the ACM SIGPLAN 1993 conference on Programming language design and implementation
Compiling real-time programs into schedulable code
PLDI '93 Proceedings of the ACM SIGPLAN 1993 conference on Programming language design and implementation
The essence of compiling with continuations
PLDI '93 Proceedings of the ACM SIGPLAN 1993 conference on Programming language design and implementation
Register allocation with instruction scheduling
PLDI '93 Proceedings of the ACM SIGPLAN 1993 conference on Programming language design and implementation
Load/store range analysis for global register allocation
PLDI '93 Proceedings of the ACM SIGPLAN 1993 conference on Programming language design and implementation
PLDI '93 Proceedings of the ACM SIGPLAN 1993 conference on Programming language design and implementation
PLDI '93 Proceedings of the ACM SIGPLAN 1993 conference on Programming language design and implementation
PPOPP '93 Proceedings of the fourth ACM SIGPLAN symposium on Principles and practice of parallel programming
Data flow equations for explicitly parallel programs
PPOPP '93 Proceedings of the fourth ACM SIGPLAN symposium on Principles and practice of parallel programming
Automatic array alignment in data-parallel programs
POPL '93 Proceedings of the 20th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
A novel framework of register allocation for software pipelining
POPL '93 Proceedings of the 20th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Efficient flow-sensitive interprocedural computation of pointer-induced aliases and side effects
POPL '93 Proceedings of the 20th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Constructing call multigraphs using dependence graphs
POPL '93 Proceedings of the 20th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Incremental program testing using program dependence graphs
POPL '93 Proceedings of the 20th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Complexity of bi-directional data flow analysis
POPL '93 Proceedings of the 20th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Tutorial notes on partial evaluation
POPL '93 Proceedings of the 20th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Path breaker: a tool for the optimal design of speed independent asynchronous controllers
EURO-DAC '92 Proceedings of the conference on European design automation
Sentinel scheduling: a model for compiler-controlled speculative execution
ACM Transactions on Computer Systems (TOCS)
Undecidability of static analysis
ACM Letters on Programming Languages and Systems (LOPLAS)
Unreachable procedures in object-oriented programming
ACM Letters on Programming Languages and Systems (LOPLAS)
Fail-safe programming in compiler optimization
ACM SIGPLAN Notices
Abotec: an automated back-of-the-envelope calculator
ACM SIGPLAN Notices
DAC '93 Proceedings of the 30th international Design Automation Conference
High-level transformations for minimizing syntactic variances
DAC '93 Proceedings of the 30th international Design Automation Conference
High-level symbolic construction technique for high performance sequential synthesis
DAC '93 Proceedings of the 30th international Design Automation Conference
FPCA '93 Proceedings of the conference on Functional programming languages and computer architecture
FPCA '93 Proceedings of the conference on Functional programming languages and computer architecture
Iterators: signs of weakness in object-oriented languages
ACM SIGPLAN OOPS Messenger
Verification of proofs for the B formal development process
ACM SIGPLAN Notices
Equal rights for functional objects or, the more things change, the more they are the same
ACM SIGPLAN OOPS Messenger
Applying classification and inheritance into compiling
ACM SIGPLAN OOPS Messenger
A RISC processor architecture with a versatile stack system
ACM SIGARCH Computer Architecture News - Special issue on input/output in parallel computer systems
Compilation techniques for sparse matrix computations
ICS '93 Proceedings of the 7th international conference on Supercomputing
Scan grammars: parallel attribute evaluation via data-parallelism
SPAA '93 Proceedings of the fifth annual ACM symposium on Parallel algorithms and architectures
APL '93 Proceedings of the international conference on APL
Direct update of data flow representations for a meaning-preserving program restructuring tool
SIGSOFT '93 Proceedings of the 1st ACM SIGSOFT symposium on Foundations of software engineering
A model for studying ambiguity in SGML element declarations
SAC '93 Proceedings of the 1993 ACM/SIGAPP symposium on Applied computing: states of the art and practice
Efficient software-based fault isolation
SOSP '93 Proceedings of the fourteenth ACM symposium on Operating systems principles
Interprocedural optimization: eliminating unnecessary recompilation
ACM Transactions on Programming Languages and Systems (TOPLAS)
An elimination algorithm for bidirectional data flow problems using edge placement
ACM Transactions on Programming Languages and Systems (TOPLAS)
Type reconstruction in the presence of polymorphic recursion
ACM Transactions on Programming Languages and Systems (TOPLAS)
Type inference with polymorphic recursion
ACM Transactions on Programming Languages and Systems (TOPLAS)
Translating description logics to information server queries
CIKM '93 Proceedings of the second international conference on Information and knowledge management
Projection-propagation in complex-object query languages
CIKM '93 Proceedings of the second international conference on Information and knowledge management
Distributed constraint management for collaborative engineering databases
CIKM '93 Proceedings of the second international conference on Information and knowledge management
Data flow analysis for parallel programs
CSC '93 Proceedings of the 1993 ACM conference on Computer science
Constructing natural language interface applications to operating systems
CSC '93 Proceedings of the 1993 ACM conference on Computer science
Compiling nested data-parallel programs for shared-memory multiprocessors
ACM Transactions on Programming Languages and Systems (TOPLAS)
Efficient computation of interprocedural definition-use chains
ACM Transactions on Programming Languages and Systems (TOPLAS)
Automated support for legacy code understanding
Communications of the ACM
Using an enabling technology to reengineer legacy systems
Communications of the ACM
Dominators, super blocks, and program coverage
POPL '94 Proceedings of the 21st ACM SIGPLAN-SIGACT symposium on Principles of programming languages
ACM Letters on Programming Languages and Systems (LOPLAS)
RE2C: a more versatile scanner generator
ACM Letters on Programming Languages and Systems (LOPLAS)
Extending context-free grammars with permutation phrases
ACM Letters on Programming Languages and Systems (LOPLAS)
Optimizing array bound checks using flow analysis
ACM Letters on Programming Languages and Systems (LOPLAS)
Program optimization and parallelization using idioms
ACM Transactions on Programming Languages and Systems (TOPLAS)
Debugging optimized code without being misled
ACM Transactions on Programming Languages and Systems (TOPLAS)
Lazy and incremental program generation
ACM Transactions on Programming Languages and Systems (TOPLAS)
Controlled grammatic ambiguity
ACM Transactions on Programming Languages and Systems (TOPLAS)
Recognizing substrings of LR(k) languages in linear time
ACM Transactions on Programming Languages and Systems (TOPLAS)
An incremental algorithm for maintaining the dominator tree of a reducible flowgraph
POPL '94 Proceedings of the 21st ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Value dependence graphs: representation without taxation
POPL '94 Proceedings of the 21st ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Call forwarding: a simple interprocedural optimization technique for dynamically typed languages
POPL '94 Proceedings of the 21st ACM SIGPLAN-SIGACT symposium on Principles of programming languages
POPL '94 Proceedings of the 21st ACM SIGPLAN-SIGACT symposium on Principles of programming languages
VLIW compilation techniques in a superscalar environment
PLDI '94 Proceedings of the ACM SIGPLAN 1994 conference on Programming language design and implementation
Improving semi-static branch prediction by code replication
PLDI '94 Proceedings of the ACM SIGPLAN 1994 conference on Programming language design and implementation
PLDI '94 Proceedings of the ACM SIGPLAN 1994 conference on Programming language design and implementation
Effective partial redundancy elimination
PLDI '94 Proceedings of the ACM SIGPLAN 1994 conference on Programming language design and implementation
Memory access coalescing: a technique for eliminating redundant memory accesses
PLDI '94 Proceedings of the ACM SIGPLAN 1994 conference on Programming language design and implementation
Interprocedural may-alias analysis for pointers: beyond k-limiting
PLDI '94 Proceedings of the ACM SIGPLAN 1994 conference on Programming language design and implementation
Efficient detection of all pointer and array access errors
PLDI '94 Proceedings of the ACM SIGPLAN 1994 conference on Programming language design and implementation
On slicing programs with jump statements
PLDI '94 Proceedings of the ACM SIGPLAN 1994 conference on Programming language design and implementation
An efficient approach to computing fixpoints for complex program analysis
ICS '94 Proceedings of the 8th international conference on Supercomputing
Optimal local register allocation for a multiple-issue machine
ICS '94 Proceedings of the 8th international conference on Supercomputing
Exploiting cache affinity in software cache coherence
ICS '94 Proceedings of the 8th international conference on Supercomputing
ACM SIGPLAN Notices
ACM SIGPLAN Notices
Propagation of constants and assertions
ACM SIGPLAN Notices
Thermodynamics and garbage collection
ACM SIGPLAN Notices
Linear logic and permutation stacks—the Forth shall be first
ACM SIGARCH Computer Architecture News - Special issue: panel sessions of the 1991 workshop on multithreaded computers
Compile time instruction cache optimizations
ACM SIGARCH Computer Architecture News - Special issue: panel sessions of the 1991 workshop on multithreaded computers
An equational framework for the flow analysis of higher order functional programs
LFP '94 Proceedings of the 1994 ACM conference on LISP and functional programming
ACM SIGSOFT Software Engineering Notes
Optimal code motion: theory and practice
ACM Transactions on Programming Languages and Systems (TOPLAS)
Avoidance and suppression of compensation code in a trace scheduling compiler
ACM Transactions on Programming Languages and Systems (TOPLAS)
Optimally profiling and tracing programs
ACM Transactions on Programming Languages and Systems (TOPLAS)
A multiparadigm approach to compiler construction
ACM SIGPLAN Notices
Interface definition language conversions: recursive types
IDL '94 Proceedings of the workshop on Interface definition languages
A generalized theory of bit vector data flow analysis
ACM Transactions on Programming Languages and Systems (TOPLAS)
Automatic verification of requirements implementation
ISSTA '94 Proceedings of the 1994 ACM SIGSOFT international symposium on Software testing and analysis
Parallelizing Subroutines in Sequential Programs
IEEE Software
Selecting tests and identifying test coverage requirements for modified software
ISSTA '94 Proceedings of the 1994 ACM SIGSOFT international symposium on Software testing and analysis
USC: a universal stub compiler
SIGCOMM '94 Proceedings of the conference on Communications architectures, protocols and applications
LLparse and LRparse: visual and interactive tools for parsing
SIGCSE '94 Proceedings of the twenty-fifth SIGCSE symposium on Computer science education
Understanding the bottom-up SLR parser
SIGCSE '94 Proceedings of the twenty-fifth SIGCSE symposium on Computer science education
Hierarchical spacetime control
SIGGRAPH '94 Proceedings of the 21st annual conference on Computer graphics and interactive techniques
A model for efficient and flexible image computing
SIGGRAPH '94 Proceedings of the 21st annual conference on Computer graphics and interactive techniques
Towards efficient parsing of diagrammatic languages
AVI '94 Proceedings of the workshop on Advanced visual interfaces
Automatic presentation of multimedia documents using relational grammars
MULTIMEDIA '94 Proceedings of the second ACM international conference on Multimedia
Reducing memory traffic with CRegs
MICRO 27 Proceedings of the 27th annual international symposium on Microarchitecture
Dynamic memory disambiguation for array references
MICRO 27 Proceedings of the 27th annual international symposium on Microarchitecture
A high-performance microarchitecture with hardware-programmable functional units
MICRO 27 Proceedings of the 27th annual international symposium on Microarchitecture
ACM SIGPLAN Notices
A new model of program dependences for reverse engineering
SIGSOFT '94 Proceedings of the 2nd ACM SIGSOFT symposium on Foundations of software engineering
SIGSOFT '94 Proceedings of the 2nd ACM SIGSOFT symposium on Foundations of software engineering
Performing data flow testing on classes
SIGSOFT '94 Proceedings of the 2nd ACM SIGSOFT symposium on Foundations of software engineering
Simple compiler algorithms to reduce ownership overhead in cache coherence protocols
ASPLOS VI Proceedings of the sixth international conference on Architectural support for programming languages and operating systems
Minimization of memory traffic in high-level synthesis
DAC '94 Proceedings of the 31st annual Design Automation Conference
A unified model of pointwise equivalence of procedural computations
ACM Transactions on Programming Languages and Systems (TOPLAS)
Compiler transformations for high-performance computing
ACM Computing Surveys (CSUR)
Attribute grammar paradigms—a high-level methodology in language implementation
ACM Computing Surveys (CSUR)
Generating compilers for generated datapaths
EURO-DAC '94 Proceedings of the conference on European design automation
Scheduling of behavioral VHDL by retiming techniques
EURO-DAC '94 Proceedings of the conference on European design automation
An extended form of must alias analysis for dynamic allocation
POPL '95 Proceedings of the 22nd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Lower bounds on type inference with subtypes
POPL '95 Proceedings of the 22nd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Integrating a breadth-first curriculum with relevant programming projects in CS1/CS2
SIGCSE '95 Proceedings of the twenty-sixth SIGCSE technical symposium on Computer science education
Parser visualizations for developing grammars with yacc
SIGCSE '95 Proceedings of the twenty-sixth SIGCSE technical symposium on Computer science education
ParsesraP: using one grammar to specify both input and output
ACM SIGPLAN Notices
Fast floating-point processing in Common Lisp
ACM Transactions on Mathematical Software (TOMS)
ACM Transactions on Programming Languages and Systems (TOPLAS)
Safe: a semantic technique for transforming programs in the presence of errors
ACM Transactions on Programming Languages and Systems (TOPLAS)
Beyond induction variables: detecting and classifying sequences using a demand-driven SSA form
ACM Transactions on Programming Languages and Systems (TOPLAS)
Optimal evaluation of array expressions on massively parallel machines
ACM Transactions on Programming Languages and Systems (TOPLAS)
LISA: a tool for automatic language implementation
ACM SIGPLAN Notices
Incremental computation of dominator trees
IR '95 Papers from the 1995 ACM SIGPLAN workshop on Intermediate representations
GURRR: a global unified resource requirements representation
IR '95 Papers from the 1995 ACM SIGPLAN workshop on Intermediate representations
Optimizing sparse representations for dataflow analysis
IR '95 Papers from the 1995 ACM SIGPLAN workshop on Intermediate representations
Sparse functional stores for imperative programs
IR '95 Papers from the 1995 ACM SIGPLAN workshop on Intermediate representations
GC: the data-flow graph format of synchronous programming
IR '95 Papers from the 1995 ACM SIGPLAN workshop on Intermediate representations
Rationalized three instruction machine
IR '95 Papers from the 1995 ACM SIGPLAN workshop on Intermediate representations
Efficiently computing Φ-nodes on-the-fly
ACM Transactions on Programming Languages and Systems (TOPLAS)
Advanced Array Optimizations for High Performance Functional Languages
IEEE Transactions on Parallel and Distributed Systems
Compiler-Based Multiple Instruction Retry
IEEE Transactions on Computers
Structure and chance: melding logic and probability for software debugging
Communications of the ACM
High-level synthesis in an industrial environment
IBM Journal of Research and Development - Special issue: IBM CMOS technology
Architectural Tradeoffs for a Meaning-Preserving Program Restructuring Tool
IEEE Transactions on Software Engineering - Special issue on software architecture
Efficient building and placing of gating functions
PLDI '95 Proceedings of the ACM SIGPLAN 1995 conference on Programming language design and implementation
Simple and effective link-time optimization of Modula-3 programs
PLDI '95 Proceedings of the ACM SIGPLAN 1995 conference on Programming language design and implementation
Storage assignment to decrease code size
PLDI '95 Proceedings of the ACM SIGPLAN 1995 conference on Programming language design and implementation
The power of assignment motion
PLDI '95 Proceedings of the ACM SIGPLAN 1995 conference on Programming language design and implementation
Global code motion/global value numbering
PLDI '95 Proceedings of the ACM SIGPLAN 1995 conference on Programming language design and implementation
Elimination of redundant array subscript range checks
PLDI '95 Proceedings of the ACM SIGPLAN 1995 conference on Programming language design and implementation
EEL: machine-independent executable editing
PLDI '95 Proceedings of the ACM SIGPLAN 1995 conference on Programming language design and implementation
An empirical study of precise interprocedural array analysis
Scientific Programming
Flattening and parallelizing irregular, recurrent loop nests
PPOPP '95 Proceedings of the fifth ACM SIGPLAN symposium on Principles and practice of parallel programming
PPOPP '95 Proceedings of the fifth ACM SIGPLAN symposium on Principles and practice of parallel programming
Data and computation transformations for multiprocessors
PPOPP '95 Proceedings of the fifth ACM SIGPLAN symposium on Principles and practice of parallel programming
Extracting task-level parallelism
ACM Transactions on Programming Languages and Systems (TOPLAS)
Error repair in shift-reduce parsers
ACM Transactions on Programming Languages and Systems (TOPLAS)
Transaction chopping: algorithms and performance studies
ACM Transactions on Database Systems (TODS)
An introduction to Remy's fast polymorphic record projection
ACM SIGMOD Record
ACM Computing Surveys (CSUR)
Lattice frameworks for multisource and bidirectional data flow problems
ACM Transactions on Programming Languages and Systems (TOPLAS)
A resolution independent video language
Proceedings of the third ACM international conference on Multimedia
Polyvariant specialisation for higher-order, block-structured languages
PEPM '95 Proceedings of the 1995 ACM SIGPLAN symposium on Partial evaluation and semantics-based program manipulation
Abstract interpretation and low-level code optimization
PEPM '95 Proceedings of the 1995 ACM SIGPLAN symposium on Partial evaluation and semantics-based program manipulation
Caching intermediate results for program improvement
PEPM '95 Proceedings of the 1995 ACM SIGPLAN symposium on Partial evaluation and semantics-based program manipulation
Semantic models and abstract interpretation techniques for inductive data structures and pointers
PEPM '95 Proceedings of the 1995 ACM SIGPLAN symposium on Partial evaluation and semantics-based program manipulation
A tool to support speech and non-speech audio feedback generation in audio interfaces
Proceedings of the 8th annual ACM symposium on User interface and software technology
Language constructs and transformation for hard real-time systems
LCTES '95 Proceedings of the ACM SIGPLAN 1995 workshop on Languages, compilers, & tools for real-time systems
Performance analysis of embedded software using implicit path enumeration
LCTES '95 Proceedings of the ACM SIGPLAN 1995 workshop on Languages, compilers, & tools for real-time systems
Performance analysis of embedded software using implicit path enumeration
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
Equivalence checking of datapaths based on canonical arithmetic expressions
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
Synthesis of software programs for embedded control application
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
Code optimization techniques for embedded DSP microprocessors
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
Modularity and information content classes in principle-based parsing
Computational Linguistics
Hybrid slicing: an approach for refining static slices using dynamic information
SIGSOFT '95 Proceedings of the 3rd ACM SIGSOFT symposium on Foundations of software engineering
OFL: a functional execution model for object query languages
SIGMOD '95 Proceedings of the 1995 ACM SIGMOD international conference on Management of data
ISLPED '95 Proceedings of the 1995 international symposium on Low power design
Interprocedural register allocation for lazy functional languages
FPCA '95 Proceedings of the seventh international conference on Functional programming languages and computer architecture
Symbolic array dataflow analysis for array privatization and program parallelization
Supercomputing '95 Proceedings of the 1995 ACM/IEEE conference on Supercomputing
Interprocedural compilation of irregular applications for distributed memory machines
Supercomputing '95 Proceedings of the 1995 ACM/IEEE conference on Supercomputing
Unconstrained speculative execution with predicated state buffering
ISCA '95 Proceedings of the 22nd annual international symposium on Computer architecture
Streamlining data cache access with fast address calculation
ISCA '95 Proceedings of the 22nd annual international symposium on Computer architecture
Optimal code generation for embedded memory non-homogeneous register architectures
ISSS '95 Proceedings of the 8th international symposium on System synthesis
Automatic data layout for high performance Fortran
Supercomputing '95 Proceedings of the 1995 ACM/IEEE conference on Supercomputing
A macrotask-level unlimited speculative execution on multiprocessors
ICS '95 Proceedings of the 9th international conference on Supercomputing
Gated SSA-based demand-driven symbolic analysis for parallelizing compilers
ICS '95 Proceedings of the 9th international conference on Supercomputing
Data flow analysis of parallel programs
PACT '95 Proceedings of the IFIP WG10.3 working conference on Parallel architectures and compilation techniques
Memory bank and register allocation in software synthesis for ASIPs
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
Instruction selection using binate covering for code size optimization
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
Zero-cycle loads: microarchitecture support for reducing load latency
Proceedings of the 28th annual international symposium on Microarchitecture
Spill-free parallel scheduling of basic blocks
Proceedings of the 28th annual international symposium on Microarchitecture
Improving instruction-level parallelism by loop unrolling and dynamic memory disambiguation
Proceedings of the 28th annual international symposium on Microarchitecture
Region-based compilation: an introduction and motivation
Proceedings of the 28th annual international symposium on Microarchitecture
Register allocation for predicated code
Proceedings of the 28th annual international symposium on Microarchitecture
Exploiting short-lived variables in superscalar processors
Proceedings of the 28th annual international symposium on Microarchitecture
Unrolling-based optimizations for modulo scheduling
Proceedings of the 28th annual international symposium on Microarchitecture
Translating SQL for database reengineering
ACM SIGPLAN Notices
The path-wise approach to data flow testing with pointer variables
ISSTA '96 Proceedings of the 1996 ACM SIGSOFT international symposium on Software testing and analysis
Towards a structural load testing tool
ISSTA '96 Proceedings of the 1996 ACM SIGSOFT international symposium on Software testing and analysis
The design of whole-program analysis tools
Proceedings of the 18th international conference on Software engineering
A scalable, automated process for year 2000 system correction
Proceedings of the 18th international conference on Software engineering
A flexible architecture for building data flow analyzers
Proceedings of the 18th international conference on Software engineering
Storage assignment to decrease code size
ACM Transactions on Programming Languages and Systems (TOPLAS)
A Formal Framework for On-line Software Version Change
IEEE Transactions on Software Engineering
Automatic Data Structure Selection and Transformation for Sparse Matrix Computations
IEEE Transactions on Parallel and Distributed Systems
Source-level debugging of scalar optimized code
PLDI '96 Proceedings of the ACM SIGPLAN 1996 conference on Programming language design and implementation
Practical program analysis using general purpose logic programming systems—a case study
PLDI '96 Proceedings of the ACM SIGPLAN 1996 conference on Programming language design and implementation
Fast, effective dynamic compilation
PLDI '96 Proceedings of the ACM SIGPLAN 1996 conference on Programming language design and implementation
TIL: a type-directed optimizing compiler for ML
PLDI '96 Proceedings of the ACM SIGPLAN 1996 conference on Programming language design and implementation
PLDI '96 Proceedings of the ACM SIGPLAN 1996 conference on Programming language design and implementation
A new framework for exhaustive and incremental data flow analysis using DJ graphs
PLDI '96 Proceedings of the ACM SIGPLAN 1996 conference on Programming language design and implementation
Let-floating: moving bindings to give faster programs
Proceedings of the first ACM SIGPLAN international conference on Functional programming
Performance comparison of ILP machines with cycle time evaluation
ISCA '96 Proceedings of the 23rd annual international symposium on Computer architecture
Instruction prefetching of systems codes with layout optimized for reduced cache misses
ISCA '96 Proceedings of the 23rd annual international symposium on Computer architecture
Rule languages and internal algebras for rule-based optimizers
SIGMOD '96 Proceedings of the 1996 ACM SIGMOD international conference on Management of data
From VHDL to efficient and first-time-right designs: a formal approach
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Optimal register assignment to loops for embedded code generation
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Symbolic analysis for parallelizing compilers
ACM Transactions on Programming Languages and Systems (TOPLAS)
Lightweight lexical source model extraction
ACM Transactions on Software Engineering and Methodology (TOSEM)
A sequence of lab exercises for an introductory compiler construction course
ACM SIGCSE Bulletin
Separate Computation of Alias Information for Reuse
IEEE Transactions on Software Engineering - Special issue: best papers of the 1996 international symposium on software testing and analysis ISSTA'96
Identifying loops using DJ graphs
ACM Transactions on Programming Languages and Systems (TOPLAS)
Using dataflow analysis techniques to reduce ownership overhead in cache coherence protocols
ACM Transactions on Programming Languages and Systems (TOPLAS)
Parameter passing and control stack management in Prolog implementation revisited
ACM Transactions on Programming Languages and Systems (TOPLAS)
Encoding of a priori Information in Active Contour Models
IEEE Transactions on Pattern Analysis and Machine Intelligence
Vortex: an optimizing compiler for object-oriented languages
Proceedings of the 11th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
Simple and effective analysis of statically-typed object-oriented programs
Proceedings of the 11th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
Architecture-oriented visualization
Proceedings of the 11th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
CANLOGS: a logic gate simulator for all seasons
SIGCSE '96 Proceedings of the twenty-seventh SIGCSE technical symposium on Computer science education
Whole-program optimization for time and space efficient threads
Proceedings of the seventh international conference on Architectural support for programming languages and operating systems
Value locality and load value prediction
Proceedings of the seventh international conference on Architectural support for programming languages and operating systems
Exploiting dual data-memory banks in digital signal processors
Proceedings of the seventh international conference on Architectural support for programming languages and operating systems
Anticipatory instruction scheduling
Proceedings of the eighth annual ACM symposium on Parallel algorithms and architectures
Data-localization for Fortran macro-dataflow computation using partial static task assignment
ICS '96 Proceedings of the 10th international conference on Supercomputing
CTADEL: a generator of multi-platform high performance codes for PDE-based scientific applications
ICS '96 Proceedings of the 10th international conference on Supercomputing
Block algorithms for sparse matrix computations on high performance workstations
ICS '96 Proceedings of the 10th international conference on Supercomputing
A MATLAB to Fortran 90 translator and its effectiveness
ICS '96 Proceedings of the 10th international conference on Supercomputing
Points-to analysis in almost linear time
POPL '96 Proceedings of the 23rd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
POPL '96 Proceedings of the 23rd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Discovering auxiliary information for incremental computation
POPL '96 Proceedings of the 23rd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
POPL '96 Proceedings of the 23rd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Reducing synchronization overhead in parallel simulation
PADS '96 Proceedings of the tenth workshop on Parallel and distributed simulation
Lightweight closure conversion
ACM Transactions on Programming Languages and Systems (TOPLAS)
A Compact Petri Net Representation and Its Implications for Analysis
IEEE Transactions on Software Engineering
Optimized code generation of multiplication-free linear transforms
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Address calculation for retargetable compilation and exploration of instruction-set architectures
DAC '96 Proceedings of the 33rd annual Design Automation Conference
An application-independent concurrency skeleton in Ada 95
Proceedings of the conference on TRI-Ada '96: disciplined software development with Ada
An Ada-based script language for simulation applications
ACM SIGAda Ada Letters
A Unified Framework for Optimizing Communication in Data-Parallel Programs
IEEE Transactions on Parallel and Distributed Systems
Strategic directions in research on programming languages
ACM Computing Surveys (CSUR) - Special ACM 50th-anniversary issue: strategic directions in computing research
The future of program analysis
ACM Computing Surveys (CSUR) - Special issue: position statements on strategic directions in computing research
Introducing a CPS style optimizer into an existing compiler
ACM SIGPLAN Notices
hcc—a portable ANSI C compiler (with a code generator for the PowerPCs)
ACM SIGPLAN Notices
An introduction to partial evaluation
ACM Computing Surveys (CSUR)
Profile-driven instruction level parallel scheduling with application to super blocks
Proceedings of the 29th annual ACM/IEEE international symposium on Microarchitecture
Speculative hedge: regulating compile-time speculation against profile variations
Proceedings of the 29th annual ACM/IEEE international symposium on Microarchitecture
Hot cold optimization of large Windows/NT applications
Proceedings of the 29th annual ACM/IEEE international symposium on Microarchitecture
Java bytecode to native code translation: the caffeine prototype and preliminary results
Proceedings of the 29th annual ACM/IEEE international symposium on Microarchitecture
Analysis techniques for predicated code
Proceedings of the 29th annual ACM/IEEE international symposium on Microarchitecture
Exceeding the dataflow limit via value prediction
Proceedings of the 29th annual ACM/IEEE international symposium on Microarchitecture
Combining loop transformations considering caches and scheduling
Proceedings of the 29th annual ACM/IEEE international symposium on Microarchitecture
Optimization of machine descriptions for efficient use
Proceedings of the 29th annual ACM/IEEE international symposium on Microarchitecture
The Strict Time Lower Bound and Optimal Schedules for Parallel Prefix with Resource Constraints
IEEE Transactions on Computers
Incremental computation of dominator trees
ACM Transactions on Programming Languages and Systems (TOPLAS)
A safe, efficient regression test selection technique
ACM Transactions on Software Engineering and Methodology (TOSEM)
Recent developments in high-level synthesis
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Low-power mapping of behavioral arrays to multiple memories
ISLPED '96 Proceedings of the 1996 international symposium on Low power electronics and design
Lessons on converting batch systems to support interaction: experience report
ICSE '97 Proceedings of the 19th international conference on Software engineering
A proposal for calling conventions for APL defined functions
APL '96 Proceedings of the conference on Designing the future
Instruction set definition and instruction selection for ASIPs
ISSS '94 Proceedings of the 7th international symposium on High-level synthesis
Bit-alignment for retargetable code generators
ISSS '94 Proceedings of the 7th international symposium on High-level synthesis
Global node reduction of linear systems using ratio analysis
ISSS '94 Proceedings of the 7th international symposium on High-level synthesis
A specification invariant technique for operation cost minimisation in flow-graphs
ISSS '94 Proceedings of the 7th international symposium on High-level synthesis
Concurrent Detection of Software and Hardware Data-Access Faults
IEEE Transactions on Computers
A basic architecture supporting LGDG computation
ICS '90 Proceedings of the 4th international conference on Supercomputing
Incremental dependence analysis for interactive parallelization
ICS '90 Proceedings of the 4th international conference on Supercomputing
Employing finite automata for resource scheduling
MICRO 26 Proceedings of the 26th annual international symposium on Microarchitecture
A study on the number of memory ports in multiple instruction issue machines
MICRO 26 Proceedings of the 26th annual international symposium on Microarchitecture
High-level microprogramming: an optimizing C compiler for a processing element of a CAD accelerator
MICRO 23 Proceedings of the 23rd annual workshop and symposium on Microprogramming and microarchitecture
Post-compaction register assignment in a retargetable compiler
MICRO 23 Proceedings of the 23rd annual workshop and symposium on Microprogramming and microarchitecture
An instruction reoderer for pipelined computers
MICRO 23 Proceedings of the 23rd annual workshop and symposium on Microprogramming and microarchitecture
Techniques for extracting instruction level parallelism on MIMD architectures
MICRO 26 Proceedings of the 26th annual international symposium on Microarchitecture
Control flow prediction for dynamic ILP processors
MICRO 26 Proceedings of the 26th annual international symposium on Microarchitecture
Branch history table indexing to prevent pipeline bubbles in wide-issue superscalar processors
MICRO 26 Proceedings of the 26th annual international symposium on Microarchitecture
Superblock formation using static program analysis
MICRO 26 Proceedings of the 26th annual international symposium on Microarchitecture
Trace scheduling optimization in a retargetable microcode compiler
MICRO 20 Proceedings of the 20th annual workshop on Microprogramming
On the use of regular expressions for searching text
ACM Transactions on Programming Languages and Systems (TOPLAS)
Slicing real-time programs for enhanced schedulability
ACM Transactions on Programming Languages and Systems (TOPLAS)
Rule-based approach to computing module cohesion
ICSE '93 Proceedings of the 15th international conference on Software Engineering
Software process description using LOTOS and its enaction
ICSE '94 Proceedings of the 16th international conference on Software engineering
A mechanism for automatically and dynamically changing software components
Proceedings of the 1997 symposium on Software reusability
A member lookup algorithm for C++
Proceedings of the ACM SIGPLAN 1997 conference on Programming language design and implementation
Interprocedural dataflow analysis in an executable optimizer
Proceedings of the ACM SIGPLAN 1997 conference on Programming language design and implementation
A modular, polyvariant and type-based closure analysis
ICFP '97 Proceedings of the second ACM SIGPLAN international conference on Functional programming
Implementing bit-addressing with specialization
ICFP '97 Proceedings of the second ACM SIGPLAN international conference on Functional programming
Accurate binding-time analysis for imperative languages: flow, context, and return sensitivity
PEPM '97 Proceedings of the 1997 ACM SIGPLAN symposium on Partial evaluation and semantics-based program manipulation
Lambda-dropping: transforming recursive equations into programs with block structure
PEPM '97 Proceedings of the 1997 ACM SIGPLAN symposium on Partial evaluation and semantics-based program manipulation
Automatic parallelization of object-oriented programming languages using tuple space
CSC '95 Proceedings of the 1995 ACM 23rd annual conference on Computer science
Hybrid slicing: integrating dynamic information with static analysis
ACM Transactions on Software Engineering and Methodology (TOSEM)
Nesting of reducible and irreducible loops
ACM Transactions on Programming Languages and Systems (TOPLAS)
Interprocedural control flow analysis of first-order programs with tail-call optimization
ACM Transactions on Programming Languages and Systems (TOPLAS)
Proximal nodes: a model to query document databases by content and structure
ACM Transactions on Information Systems (TOIS)
Incorporating application dependent information in an automatic code generating environment
ICS '97 Proceedings of the 11th international conference on Supercomputing
Symbolic evaluation for parallelizing compilers
ICS '97 Proceedings of the 11th international conference on Supercomputing
Model checking for programming languages using VeriSoft
Proceedings of the 24th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
A demand-driven set-based analysis
Proceedings of the 24th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Experience with efficient array data flow analysis for array privatization
PPOPP '97 Proceedings of the sixth ACM SIGPLAN symposium on Principles and practice of parallel programming
Compiling dynamic mappings with array copies
PPOPP '97 Proceedings of the sixth ACM SIGPLAN symposium on Principles and practice of parallel programming
Static timing analysis of embedded software
DAC '97 Proceedings of the 34th annual Design Automation Conference
Analysis and evaluation of address arithmetic capabilities in custom DSP architectures
DAC '97 Proceedings of the 34th annual Design Automation Conference
Data-flow assisted behavioral partitioning for embedded systems
DAC '97 Proceedings of the 34th annual Design Automation Conference
Embedded program timing analysis based on path clustering and architecture classification
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
Java as a specification language for hardware-software systems
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
The multicluster architecture: reducing cycle time through partitioning
MICRO 30 Proceedings of the 30th annual ACM/IEEE international symposium on Microarchitecture
Refining data flow information using infeasible paths
ESEC '97/FSE-5 Proceedings of the 6th European SOFTWARE ENGINEERING conference held jointly with the 5th ACM SIGSOFT international symposium on Foundations of software engineering
AnLex and AnSin: a compiler generator system for beginners
SIGCSE '97 Proceedings of the twenty-eighth SIGCSE technical symposium on Computer science education
Introducing fixed-point iteration early in a compiler course
SIGCSE '97 Proceedings of the twenty-eighth SIGCSE technical symposium on Computer science education
Memory data organization for improved cache performance in embedded processor applications
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Code placement techniques for cache miss rate reduction
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Alias analysis of executable code
POPL '98 Proceedings of the 25th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Data flow analysis is model checking of abstract interpretations
POPL '98 Proceedings of the 25th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Array SSA form and its use in parallelization
POPL '98 Proceedings of the 25th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Putting pointer analysis to work
POPL '98 Proceedings of the 25th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Manufacturing cheap, resilient, and stealthy opaque constructs
POPL '98 Proceedings of the 25th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Path-sensitive value-flow analysis
POPL '98 Proceedings of the 25th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
The undergraduate capstone software design experience
Proceedings of the conference on TRI-Ada '97
Debugging highly-optimized Ada with code motion (DHACM)
Proceedings of the conference on TRI-Ada '97
The undergraduate capstone software design experience
Proceedings of the conference on TRI-Ada '97
Parallelizing nonnumerical code with selective scheduling and software pipelining
ACM Transactions on Programming Languages and Systems (TOPLAS)
Making graphs reducible with controlled node splitting
ACM Transactions on Programming Languages and Systems (TOPLAS)
A Parsing Methodology for the Implementation of Visual Systems
IEEE Transactions on Software Engineering
Controlling generalization and polyvariance in partial deduction of normal logic programs
ACM Transactions on Programming Languages and Systems (TOPLAS)
Computation of interprocedural control dependence
Proceedings of the 1998 ACM SIGSOFT international symposium on Software testing and analysis
Automatic test data generation using constraint solving techniques
Proceedings of the 1998 ACM SIGSOFT international symposium on Software testing and analysis
Proceedings of the 1998 ACM SIGSOFT international symposium on Software testing and analysis
On the limit of control flow analysis for regression test selection
Proceedings of the 1998 ACM SIGSOFT international symposium on Software testing and analysis
Automatic selection of high-order transformations in the IBM XL FORTRAN compilers
IBM Journal of Research and Development - Special issue: performance analysis and its impact on design
Simulation/evaluation environment for a VLIW processor architecture
IBM Journal of Research and Development - Special issue: performance analysis and its impact on design
Holistic design of a programming system
ACM SIGSOFT Software Engineering Notes
On the Automatic Parallelization of the Perfect Benchmarks®
IEEE Transactions on Parallel and Distributed Systems
Design of Flexible Static Program Analyzers with PQL
IEEE Transactions on Software Engineering
“Maximal-munch” tokenization in linear time
ACM Transactions on Programming Languages and Systems (TOPLAS)
Re-engineering legacy Cobol programs
Communications of the ACM
Automatic synthesis of interfaces between incompatible protocols
DAC '98 Proceedings of the 35th annual Design Automation Conference
Don't care-based BDD minimization for embedded software
DAC '98 Proceedings of the 35th annual Design Automation Conference
Complete removal of redundant expressions
PLDI '98 Proceedings of the ACM SIGPLAN 1998 conference on Programming language design and implementation
PLDI '98 Proceedings of the ACM SIGPLAN 1998 conference on Programming language design and implementation
Exploiting idle floating-point resources for integer execution
PLDI '98 Proceedings of the ACM SIGPLAN 1998 conference on Programming language design and implementation
Fast, effective code generation in a just-in-time Java compiler
PLDI '98 Proceedings of the ACM SIGPLAN 1998 conference on Programming language design and implementation
Automatically closing open reactive programs
PLDI '98 Proceedings of the ACM SIGPLAN 1998 conference on Programming language design and implementation
HDL code restructuring using timed decision tables
Proceedings of the 6th international workshop on Hardware/software codesign
A new framework for elimination-based data flow analysis using DJ graphs
ACM Transactions on Programming Languages and Systems (TOPLAS)
Supporting the restructuring of data abstractions through manipulation of a program visualization
ACM Transactions on Software Engineering and Methodology (TOSEM)
Analysis of Cache-Related Preemption Delay in Fixed-Priority Preemptive Scheduling
IEEE Transactions on Computers
ISLPED '98 Proceedings of the 1998 international symposium on Low power electronics and design
Interprocedural Partial Redundancy Elimination With Application to Distributed Memory Compilation
IEEE Transactions on Parallel and Distributed Systems
A graph compaction approach to fault simulation
DAC '88 Proceedings of the 25th ACM/IEEE Design Automation Conference
SMART: tools and methods for synthesis of VLSI chips with processor architecture
DAC '88 Proceedings of the 25th ACM/IEEE Design Automation Conference
IMPACT: an architectural framework for multiple-instruction-issue processors
25 years of the international symposia on Computer architecture (selected papers)
Ownership types for flexible alias protection
Proceedings of the 13th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
The automatic generation of sparse primitives
ACM Transactions on Mathematical Software (TOMS)
An Extensible System for Source Code Analysis
IEEE Transactions on Software Engineering
Effective whole-program analysis in the presence of pointers
SIGSOFT '98/FSE-6 Proceedings of the 6th ACM SIGSOFT international symposium on Foundations of software engineering
Architecture-level dependence analysis in support of software maintenance
ISAW '98 Proceedings of the third international workshop on Software architecture
Journal of VLSI Signal Processing Systems - Special issue on systematic trade-off analysis in signal processing systems design
Automatic construction of intelligent diagram editors
Proceedings of the 11th annual ACM symposium on User interface software and technology
RAPID: a free, portable GUI design tool
Proceedings of the 1998 annual ACM SIGAda international conference on Ada
Using value prediction to increase the power of speculative execution hardware
ACM Transactions on Computer Systems (TOCS)
Code generation for fixed-point DSPs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Task selection for a multiscalar processor
MICRO 31 Proceedings of the 31st annual ACM/IEEE international symposium on Microarchitecture
Compiler-directed early load-address generation
MICRO 31 Proceedings of the 31st annual ACM/IEEE international symposium on Microarchitecture
MICRO 31 Proceedings of the 31st annual ACM/IEEE international symposium on Microarchitecture
Code compression based on operand factorization
MICRO 31 Proceedings of the 31st annual ACM/IEEE international symposium on Microarchitecture
Automatically locating, extracting and analyzing tabular data
Proceedings of the 21st annual international ACM SIGIR conference on Research and development in information retrieval
Static caching for incremental computation
ACM Transactions on Programming Languages and Systems (TOPLAS)
Constraint-based array dependence analysis
ACM Transactions on Programming Languages and Systems (TOPLAS)
Automatic data layout for distributed-memory machines
ACM Transactions on Programming Languages and Systems (TOPLAS)
Within ARM's reach: compilation of left-linear rewrite systems via minimal rewrite systems
ACM Transactions on Programming Languages and Systems (TOPLAS)
Aggregate structure identification and its application to program analysis
Proceedings of the 26th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Constraint systems for useless variable elimination
Proceedings of the 26th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Proceedings of the 26th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Efficient and flexible incremental parsing
ACM Transactions on Programming Languages and Systems (TOPLAS)
Dynamic currency determination in optimized programs
ACM Transactions on Programming Languages and Systems (TOPLAS)
A new, simpler linear-time dominators algorithm
ACM Transactions on Programming Languages and Systems (TOPLAS)
Register Allocation—A Hierarchical Reduction Approach
Journal of VLSI Signal Processing Systems
Bounding Pipeline and Instruction Cache Performance
IEEE Transactions on Computers
A Development Environment for Complex Distributed Real-Time Applications
IEEE Transactions on Software Engineering
Optimizing the Instruction Cache Performance of the Operating System
IEEE Transactions on Computers
A representation model for procedural program maintenance
Proceedings of the 1999 ACM symposium on Applied computing
Implementing catalog clearinghouses with XML and XSL
Proceedings of the 1999 ACM symposium on Applied computing
Graph-theoretic methods in database theory
PODS '90 Proceedings of the ninth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
A text-compression-based method for code size minimization in embedded systems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Basic compiler algorithms for parallel programs
Proceedings of the seventh ACM SIGPLAN symposium on Principles and practice of parallel programming
Automatic detection of recurring operation patterns
CODES '99 Proceedings of the seventh international workshop on Hardware/software codesign
Support for garbage collection at every instruction in a Java compiler
Proceedings of the ACM SIGPLAN 1999 conference on Programming language design and implementation
A fast Fourier transform compiler
Proceedings of the ACM SIGPLAN 1999 conference on Programming language design and implementation
A new framework for debugging globally optimized code
Proceedings of the ACM SIGPLAN 1999 conference on Programming language design and implementation
Proceedings of the ACM SIGPLAN 1999 conference on Programming language design and implementation
Efficient incremental run-time specialization for free
Proceedings of the ACM SIGPLAN 1999 conference on Programming language design and implementation
Automatic method refactoring using weighted dependence graphs
Proceedings of the 21st international conference on Software engineering
Residual test coverage monitoring
Proceedings of the 21st international conference on Software engineering
Call-mark slicing: an efficient and economical way of reducing slice
Proceedings of the 21st international conference on Software engineering
System-dependence-graph-based slicing of programs with arbitrary interprocedural control flow
Proceedings of the 21st international conference on Software engineering
Frame-based method for customizing generic software architectures
SSR '99 Proceedings of the 1999 symposium on Software reusability
Design, implementation, and evaluation of optimizations in a just-in-time compiler
JAVA '99 Proceedings of the ACM 1999 conference on Java Grande
The Jalapeño dynamic optimizing compiler for Java
JAVA '99 Proceedings of the ACM 1999 conference on Java Grande
Equivalent design representations and transformations for interactive scheduling
ICCAD '92 Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design
A partitioning algorithm for system-level synthesis
ICCAD '92 Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design
Resource usage models for instruction scheduling: two new models and a classification
ICS '99 Proceedings of the 13th international conference on Supercomputing
High-level semantic optimization of numerical codes
ICS '99 Proceedings of the 13th international conference on Supercomputing
Trace-Based Abstract Interpretation of Operational Semantics
Lisp and Symbolic Computation
Bytecode-based Java program analysis
ACM-SE 37 Proceedings of the 37th annual Southeast regional conference (CD-ROM)
Estimation of BIST Resources During High-Level Synthesis
Journal of Electronic Testing: Theory and Applications
Temporal Partitioning and Scheduling Data Flow Graphs for Reconfigurable Computers
IEEE Transactions on Computers
Data type analysis for hardware synthesis from object-oriented models
DATE '99 Proceedings of the conference on Design, automation and test in Europe
Design and Evaluation of System-Level Checks for On-Line Control Flow Error Detection
IEEE Transactions on Parallel and Distributed Systems
Procedure-Level Verification of Real-time Concurrent Systems
Real-Time Systems
A low power hardware/software partitioning approach for core-based embedded systems
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Behavioral network graph: unifying the domains of high-level and logic synthesis
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
ADrien: an implementation of automatic differentiation in Maple
ISSAC '99 Proceedings of the 1999 international symposium on Symbolic and algebraic computation
Hardware compilation for FPGA-based configurable computing machines
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
IEEE Transactions on Parallel and Distributed Systems
Register allocation in structured programs
Proceedings of the sixth annual ACM-SIAM symposium on Discrete algorithms
Effective exploitation of a zero overhead loop buffer
Proceedings of the ACM SIGPLAN 1999 workshop on Languages, compilers, and tools for embedded systems
Minimizing cost of local variables access for DSP-processors
Proceedings of the ACM SIGPLAN 1999 workshop on Languages, compilers, and tools for embedded systems
On failure of the pruning technique in “Error repair in shift-reduce parsers”
ACM Transactions on Programming Languages and Systems (TOPLAS)
Proceedings of the ninth annual ACM-SIAM symposium on Discrete algorithms
Linear-time register allocation for a fixed number of registers
Proceedings of the ninth annual ACM-SIAM symposium on Discrete algorithms
Combining structural and procedural programming by parallelizing compilation
SAC '95 Proceedings of the 1995 ACM symposium on Applied computing
Efficient coverage testing using global dominator graphs
Proceedings of the 1999 ACM SIGPLAN-SIGSOFT workshop on Program analysis for software tools and engineering
New type signatures for legacy Fortran subroutines
Proceedings of the 1999 ACM SIGPLAN-SIGSOFT workshop on Program analysis for software tools and engineering
BPF+: exploiting global data-flow optimization in a generalized packet filter architecture
Proceedings of the conference on Applications, technologies, architectures, and protocols for computer communication
Identifying loops in almost linear time
ACM Transactions on Programming Languages and Systems (TOPLAS)
Techniques for the translation of MATLAB programs into Fortran 90
ACM Transactions on Programming Languages and Systems (TOPLAS)
Storage reclamation models for Ada programs
SIGAda '87 Proceedings of the 1987 annual ACM SIGAda international conference on Ada
A version control approach to Cache coherence
ICS '89 Proceedings of the 3rd international conference on Supercomputing
Proceedings of the 1999 annual ACM SIGAda international conference on Ada
Semantics directed compiling for functional languages
LFP '86 Proceedings of the 1986 ACM conference on LISP and functional programming
Automatically extracting structure and data from business reports
Proceedings of the eighth international conference on Information and knowledge management
Access region locality for high-bandwidth processor memory system design
Proceedings of the 32nd annual ACM/IEEE international symposium on Microarchitecture
Optimizations and oracle parallelism with dynamic translation
Proceedings of the 32nd annual ACM/IEEE international symposium on Microarchitecture
Finding circular attributes in attribute grammars
Journal of the ACM (JACM)
Ace: a language for parallel programming with customizable protocols
ACM Transactions on Computer Systems (TOCS)
Denotational data flow analysis for parallel implementation of imperative programs
CSC '88 Proceedings of the 1988 ACM sixteenth annual conference on Computer science
On the control dependence in the program dependence graph
CSC '88 Proceedings of the 1988 ACM sixteenth annual conference on Computer science
Statically Safe Speculative Execution for Real-Time Systems
IEEE Transactions on Software Engineering
Interprocedural pointer alias analysis
ACM Transactions on Programming Languages and Systems (TOPLAS)
The Multicluster Architecture: Reducing Processor Cycle Time Through Partitioning
International Journal of Parallel Programming
Temporal abstract interpretation
Proceedings of the 27th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
SAC '94 Proceedings of the 1994 ACM symposium on Applied computing
Higher-order dataflow and its implementation on stock hardware
SAC '94 Proceedings of the 1994 ACM symposium on Applied computing
Implementing semantics of object oriented languages using attribute grammars
CSC '91 Proceedings of the 19th annual conference on Computer Science
APL '98 Proceedings of the APL98 conference on Array processing language
Writing a compilers compiler in APL
APL '98 Proceedings of the APL98 conference on Array processing language
Linear scan register allocation
ACM Transactions on Programming Languages and Systems (TOPLAS)
Static correlated branch prediction
ACM Transactions on Programming Languages and Systems (TOPLAS)
Incorporating multi-pass attribute grammars for the high-level synthesis of ASICs
SAC '98 Proceedings of the 1998 ACM symposium on Applied Computing
A global communication optimization technique based on data-flow analysis and linear algebra
ACM Transactions on Programming Languages and Systems (TOPLAS)
Protecting Java code via code obfuscation
Crossroads - Speical issue on robotics
The Implementation of a VHDL-AMS to SPICE Converter
Journal of VLSI Signal Processing Systems - Mixed-signal design issues
The Implementation of a VHDL-AMS to SPICE Converter
Analog Integrated Circuits and Signal Processing - Special issue on mixed-signal design issues
Software performance estimation strategies in a system-level design tool
CODES '00 Proceedings of the eighth international workshop on Hardware/software codesign
Task response time optimization using cost-based operation motion
CODES '00 Proceedings of the eighth international workshop on Hardware/software codesign
System-level power optimization: techniques and tools
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Simultaneous reference allocation in code generation for dual data memory bank ASIPs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Density biased sampling: an improved method for data mining and clustering
SIGMOD '00 Proceedings of the 2000 ACM SIGMOD international conference on Management of data
Efficient Interprocedural Array Data-Flow Analysis for Automatic Program Parallelization
IEEE Transactions on Software Engineering - Special issue on architecture-independent languages and software tools for parallel processing
Generating statechart designs from scenarios
Proceedings of the 22nd international conference on Software engineering
Automatic formal verification of DSP software
Proceedings of the 37th Annual Design Automation Conference
Persistent execution state of a Java virtual machine
Proceedings of the ACM 2000 conference on Java Grande
Task generation and compile-time scheduling for mixed data-control embedded software
Proceedings of the 37th Annual Design Automation Conference
SAC '00 Proceedings of the 2000 ACM symposium on Applied computing - Volume 2
Timing Analysis for Data and Wrap-Around Fill Caches
Real-Time Systems
Cache-Conscious Limited Preemptive Scheduling
Real-Time Systems
A scalable approach to thread-level speculation
Proceedings of the 27th annual international symposium on Computer architecture
ICCAD '99 Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design
An Automatic Class Generation Mechanism by Using Method Integration
IEEE Transactions on Software Engineering
Analysis of high-level address code transformations for programmable processors
DATE '00 Proceedings of the conference on Design, automation and test in Europe
Efficient power co-estimation techniques for system-on-chip design
DATE '00 Proceedings of the conference on Design, automation and test in Europe
Automatic test bench generation for validation of RT-level descriptions: an industrial experience
DATE '00 Proceedings of the conference on Design, automation and test in Europe
Automatic Distribution of Reactive Systems for Asynchronous Networks of Processors
IEEE Transactions on Software Engineering
Supporting Timing Analysis by Automatic Bounding of LoopIterations
Real-Time Systems - Special issue on worst-case execution-time analysis
Fast and Precise WCET Prediction by Separated Cache andPath Analyses
Real-Time Systems - Special issue on worst-case execution-time analysis
Timing Analysis for Instruction Caches
Real-Time Systems - Special issue on worst-case execution-time analysis
Redundancy in model specifications for discrete event simulation
ACM Transactions on Modeling and Computer Simulation (TOMACS)
On-chip vs. off-chip memory: the data partitioning problem in embedded processor-based systems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
OMEN: A strategy for testing object-oriented software
Proceedings of the 2000 ACM SIGSOFT international symposium on Software testing and analysis
Compiler techniques for code compaction
ACM Transactions on Programming Languages and Systems (TOPLAS)
Practicing JUDO: Java under dynamic optimizations
PLDI '00 Proceedings of the ACM SIGPLAN 2000 conference on Programming language design and implementation
Modular interprocedural pointer analysis using access paths: design, implementation, and evaluation
PLDI '00 Proceedings of the ACM SIGPLAN 2000 conference on Programming language design and implementation
Effective synchronization removal for Java
PLDI '00 Proceedings of the ACM SIGPLAN 2000 conference on Programming language design and implementation
Scalable context-sensitive flow analysis using instantiation constraints
PLDI '00 Proceedings of the ACM SIGPLAN 2000 conference on Programming language design and implementation
Contaminated garbage collection
PLDI '00 Proceedings of the ACM SIGPLAN 2000 conference on Programming language design and implementation
Execution-driven performance analysis for distributed and parallel systems
Proceedings of the 2nd international workshop on Software and performance
Overcoming the challenges to feedback-directed optimization (Keynote Talk)
DYNAMO '00 Proceedings of the ACM SIGPLAN workshop on Dynamic and adaptive compilation and optimization
Analysis and Testing of Programs with Exception Handling Constructs
IEEE Transactions on Software Engineering
VVS '00 Proceedings of the 2000 IEEE symposium on Volume visualization
Data Dependence Analysis of Assembly Code
International Journal of Parallel Programming - Special issue on instruction-level parallelism and parallelizing compilation, part 2
Automated systematic testing for constraint-based interactive services
SIGSOFT '00/FSE-8 Proceedings of the 8th ACM SIGSOFT international symposium on Foundations of software engineering: twenty-first century applications
Compiler and tool support for debugging object protocols
SIGSOFT '00/FSE-8 Proceedings of the 8th ACM SIGSOFT international symposium on Foundations of software engineering: twenty-first century applications
Generalized map coloring for use in geographical information systems
Proceedings of the 8th ACM international symposium on Advances in geographic information systems
A Unified Symbolic Evaluation Framework for Parallelizing Compilers
IEEE Transactions on Parallel and Distributed Systems
Minimizing Data and Synchronization Costs in One-Way Communication
IEEE Transactions on Parallel and Distributed Systems
Accurate and efficient predicate analysis with binary decision diagrams
Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture
Typing a multi-language intermediate code
POPL '01 Proceedings of the 28th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Matching and searching analysis for parallel hardware implementation on FPGAs
FPGA '01 Proceedings of the 2001 ACM/SIGDA ninth international symposium on Field programmable gate arrays
Journal of VLSI Signal Processing Systems
Retargetable compiled simulation of embedded processors using a machine description language
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Optimizing memory usage in the polyhedral model
ACM Transactions on Programming Languages and Systems (TOPLAS)
A methodology for testing spreadsheets
ACM Transactions on Software Engineering and Methodology (TOSEM)
Exploiting data forwarding to reduce the power budget of VLIW embedded processors
Proceedings of the conference on Design, automation and test in Europe
Techniques for obtaining high performance in Java programs
ACM Computing Surveys (CSUR)
Architectural and compiler support for effective instruction prefetching: a cooperative approach
ACM Transactions on Computer Systems (TOCS)
Generation of minimal size code for scheduling graphs
Proceedings of the conference on Design, automation and test in Europe
Performance improvement of multi-processor systems cosimulation based on SW analysis
Proceedings of the conference on Design, automation and test in Europe
State machine specification directly in Java and C++ (poster session)
OOPSLA '00 Addendum to the 2000 proceedings of the conference on Object-oriented programming, systems, languages, and applications (Addendum)
Repartitioning and technology mapping of electronic hybrid systems
Proceedings of the conference on Design, automation and test in Europe
Efficient compilation of process-based concurrent programs without run-time scheduling
Proceedings of the conference on Design, automation and test in Europe
Proceedings of the conference on Design, automation and test in Europe
An algorithm to determine mutually exclusive operations in behavioral descriptions
Proceedings of the conference on Design, automation and test in Europe
Scheduling of outputs in grammar-based hardware synthesis of data communication protocols
Proceedings of the conference on Design, automation and test in Europe
Instruction scheduling for power reduction in processor-based system design
Proceedings of the conference on Design, automation and test in Europe
AGENDA: an attribute grammar driven enviornment for the design automation of digital systems
Proceedings of the conference on Design, automation and test in Europe
Register allocation for common subexpressions in DSP data paths
ASP-DAC '00 Proceedings of the 2000 Asia and South Pacific Design Automation Conference
Network performance modeling for PVM clusters
Supercomputing '96 Proceedings of the 1996 ACM/IEEE conference on Supercomputing
Efficiency by Incrementalization: An Introduction
Higher-Order and Symbolic Computation
LR and LL parsing: some new points of view
ACM SIGCSE Bulletin
New directions in compiler technology for embedded systems (embedded tutorial)
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
The state of the art in distributed query processing
ACM Computing Surveys (CSUR)
Goal-directed object-oriented programming in Unicon
Proceedings of the 2001 ACM symposium on Applied computing
Exploiting metrics to facilitate grammar transformation into LALR format
Proceedings of the 2001 ACM symposium on Applied computing
A Compiler-Friendly RISC-Based Digital Signal Processor Synthesis and Performance Evaluation
Journal of VLSI Signal Processing Systems
Automated method-extraction refactoring by using block-based slicing
SSR '01 Proceedings of the 2001 symposium on Software reusability: putting software reuse in context
Techniques and language constructs for developing generic informations systems: a case study
SSR '01 Proceedings of the 2001 symposium on Software reusability: putting software reuse in context
Data and memory optimization techniques for embedded systems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Automatic translation of Fortran to JVM bytecode
Proceedings of the 2001 joint ACM-ISCOPE conference on Java Grande
ICS '01 Proceedings of the 15th international conference on Supercomputing
Monotonic evolution: an alternative to induction variable substitution for dependence analysis
ICS '01 Proceedings of the 15th international conference on Supercomputing
Register-sensitive selection, duplication, and sequencing of instructions
ICS '01 Proceedings of the 15th international conference on Supercomputing
ACM SIGIR Forum
Proceedings of the 38th annual Design Automation Conference
Input space adaptive design: a high-level methodology for energy and performance optimization
Proceedings of the 38th annual Design Automation Conference
A simple method for extracting models for protocol code
ISCA '01 Proceedings of the 28th annual international symposium on Computer architecture
Type-based analysis and applications
PASTE '01 Proceedings of the 2001 ACM SIGPLAN-SIGSOFT workshop on Program analysis for software tools and engineering
Array resizing for scientific code debugging, maintenance and reuse
PASTE '01 Proceedings of the 2001 ACM SIGPLAN-SIGSOFT workshop on Program analysis for software tools and engineering
Bebop: a path-sensitive interprocedural dataflow engine
PASTE '01 Proceedings of the 2001 ACM SIGPLAN-SIGSOFT workshop on Program analysis for software tools and engineering
Long term preservation of digital information
Proceedings of the 1st ACM/IEEE-CS joint conference on Digital libraries
Compiler optimizations for scalable parallel systems
Interprocedural analysis based on guarded array regions
Compiler optimizations for scalable parallel systems
Compiler optimizations for scalable parallel systems
Compiler optimization of dynamic data distributions for distributed-memory multicomputers
Compiler optimizations for scalable parallel systems
A framework for global communication analysis of optimizations
Compiler optimizations for scalable parallel systems
Supporting dynamic data structures with Olden
Compiler optimizations for scalable parallel systems
Runtime and compiler support for irregular computations
Compiler optimizations for scalable parallel systems
Analysis and testing of Web applications
ICSE '01 Proceedings of the 23rd International Conference on Software Engineering
Lightweight analysis of operational specifications using inference graphs
ICSE '01 Proceedings of the 23rd International Conference on Software Engineering
A schema for interprocedural modification side-effect analysis with pointer aliasing
ACM Transactions on Programming Languages and Systems (TOPLAS)
Automatic Analysis of Consistency between Requirements and Designs
IEEE Transactions on Software Engineering
ACM SIGPLAN Notices
Processor modeling and code selection for retargetable compilation
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Using types to analyze and optimize object-oriented programs
ACM Transactions on Programming Languages and Systems (TOPLAS)
3D RGB image compression for interactive applications
ACM Transactions on Graphics (TOG)
Exploitng event stream interpretation in publish-subscribe systems
Proceedings of the twentieth annual ACM symposium on Principles of distributed computing
Proceedings of the 2001 international symposium on Symbolic and algebraic computation
Combining Global Code and Data Compaction
OM '01 Proceedings of the 2001 ACM SIGPLAN workshop on Optimization of middleware and distributed systems
Interval-Based Analysis of Software Processes
OM '01 Proceedings of the 2001 ACM SIGPLAN workshop on Optimization of middleware and distributed systems
C Compiler Design for an Industrial Network Processor
OM '01 Proceedings of the 2001 ACM SIGPLAN workshop on Optimization of middleware and distributed systems
Loop fusion for memory space optimization
Proceedings of the 14th international symposium on Systems synthesis
Proceedings of the 14th international symposium on Systems synthesis
Bounding Cache-Related Preemption Delay for Real-Time Systems
IEEE Transactions on Software Engineering
Intervals in software execution cost analysis
ISSS '00 Proceedings of the 13th international symposium on System synthesis
Code generation for embedded processors
ISSS '00 Proceedings of the 13th international symposium on System synthesis
A High-Bandwidth Memory Pipeline for Wide Issue Processors
IEEE Transactions on Computers
Reversible Debugging Using Program Instrumentation
IEEE Transactions on Software Engineering
TabulaMagica: an integrated approach to manage complex tables
DocEng '01 Proceedings of the 2001 ACM Symposium on Document engineering
Combined partitioning and data padding for scheduling multiple loop nests
CASES '01 Proceedings of the 2001 international conference on Compilers, architecture, and synthesis for embedded systems
A novel approach to code analysis of digital signal processing systems
CASES '01 Proceedings of the 2001 international conference on Compilers, architecture, and synthesis for embedded systems
POPL '02 Proceedings of the 29th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Towards automatic construction of staged compilers
POPL '02 Proceedings of the 29th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
POPL '02 Proceedings of the 29th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Proving correctness of compiler optimizations by temporal logic
POPL '02 Proceedings of the 29th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Optimization of available C compilers for the MC68HC11
ACM-SE 30 Proceedings of the 30th annual Southeast regional conference
Improving strategies for handling undefined variables
ACM-SE 30 Proceedings of the 30th annual Southeast regional conference
Parsing natural language idioms with bi-directional finite-state machines
Theoretical Computer Science
Fast context-free grammar parsing requires fast boolean matrix multiplication
Journal of the ACM (JACM)
Path clustering in software timing analysis
IEEE Transactions on Very Large Scale Integration (VLSI) Systems - System Level Design
Parsing C++ code despite missing declarations
Advances in software engineering
Towards environment-retargetable parser generators
Advances in software engineering
Compiler-Assisted Multiple Instruction Word Retry for VLIW Architectures
IEEE Transactions on Parallel and Distributed Systems
Burst tries: a fast, efficient data structure for string keys
ACM Transactions on Information Systems (TOIS)
In your own words: using full sentences as feedback
CHI '02 Extended Abstracts on Human Factors in Computing Systems
Hiding Relaxed Memory Consistency with a Compiler
IEEE Transactions on Computers - Special issue on the parallel architecture and compilation techniques conference
Contification using dominators
Proceedings of the sixth ACM SIGPLAN international conference on Functional programming
Good design principles in a compiler university course
ACM SIGPLAN Notices
Proceedings of the 11th international conference on World Wide Web
Flow-sensitive type qualifiers
PLDI '02 Proceedings of the ACM SIGPLAN 2002 Conference on Programming language design and implementation
Effective sign extension elimination
PLDI '02 Proceedings of the ACM SIGPLAN 2002 Conference on Programming language design and implementation
Maya: multiple-dispatch syntax extension in Java
PLDI '02 Proceedings of the ACM SIGPLAN 2002 Conference on Programming language design and implementation
Energy-conscious compilation based on voltage scaling
Proceedings of the joint conference on Languages, compilers and tools for embedded systems: software and compilers for embedded systems
Exploiting shared scratch pad memory space in embedded multiprocessor systems
Proceedings of the 39th annual Design Automation Conference
Timed compiled-code simulation of embedded software for performance analysis of SOC design
Proceedings of the 39th annual Design Automation Conference
Associative caches in formal software timing analysis
Proceedings of the 39th annual Design Automation Conference
Coordinated transformations for high-level synthesis of high performance microprocessor blocks
Proceedings of the 39th annual Design Automation Conference
Compiler supported high-level abstractions for sparse disk-resident datasets
ICS '02 Proceedings of the 16th international conference on Supercomputing
MISC: the minimal instruction set computer
Proceedings of the 7th annual conference on Innovation and technology in computer science education
Global array reference allocation
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Token-based scanning of source code for security problems
ACM Transactions on Information and System Security (TISSEC)
Propagating constants past software to hardware peripherals in fixed-application embedded systems
ACM SIGARCH Computer Architecture News - Special Issue: PACT 2001 workshops
Repairing syntax errors in LR-based parsers
ACSC '02 Proceedings of the twenty-fifth Australasian conference on Computer science - Volume 4
CRPIT '02 Proceedings of the seventh Asia-Pacific conference on Computer systems architecture
Dynamic speculative precomputation
Proceedings of the 34th annual ACM/IEEE international symposium on Microarchitecture
A general compiler framework for speculative multithreading
Proceedings of the fourteenth annual ACM symposium on Parallel algorithms and architectures
A conservative algorithm for computing the flow of permissions in Java programs
ISSTA '02 Proceedings of the 2002 ACM SIGSOFT international symposium on Software testing and analysis
Efficient instrumentation for code coverage testing
ISSTA '02 Proceedings of the 2002 ACM SIGSOFT international symposium on Software testing and analysis
Hardware-software cosynthesis for microcontrollers
Readings in hardware/software co-design
Readings in hardware/software co-design
Power analysis of embedded software: a first step towards software power minimization
Readings in hardware/software co-design
Hardware-software codesign of embedded systems
Readings in hardware/software co-design
Clairvoyant: a synthesis system for production-based specification
Readings in hardware/software co-design
Embedded software in real-time signal processing systems: design technologies
Readings in hardware/software co-design
Instruction selection using binate covering for code size optimization
Readings in hardware/software co-design
Readings in hardware/software co-design
Handling irreducible loops: optimized node splitting versus DJ-graphs
ACM Transactions on Programming Languages and Systems (TOPLAS)
Using indexed data structures for program specialization
ASIA-PEPM '02 Proceedings of the ASIAN symposium on Partial evaluation and semantics-based program manipulation
ACM SIGPLAN Notices
Using extended positional grammars to develop visual modeling languages
SEKE '02 Proceedings of the 14th international conference on Software engineering and knowledge engineering
GLR parsing with multiple grammars for natural language queries
ACM Transactions on Asian Language Information Processing (TALIP)
Efficient partitioning of fragment shaders for multipass rendering on programmable graphics hardware
Proceedings of the ACM SIGGRAPH/EUROGRAPHICS conference on Graphics hardware
From Syntactic Theories to Interpreters: Automating the Proof of Unique Decomposition
Higher-Order and Symbolic Computation
Diagnosis of discrete-event systems from uncertain temporal observations
Artificial Intelligence
Testing Homogeneous Spreadsheet Grids with the "What You See Is What You Test" Methodology
IEEE Transactions on Software Engineering
Data abstraction and information hiding
ACM Transactions on Programming Languages and Systems (TOPLAS)
Transforming the .NET intermediate language using path logic programming
Proceedings of the 4th ACM SIGPLAN international conference on Principles and practice of declarative programming
Data memory design considering effective bitwidth for low-energy embedded systems
Proceedings of the 15th international symposium on System Synthesis
Dynamic common sub-expression elimination during scheduling in high-level synthesis
Proceedings of the 15th international symposium on System Synthesis
Proceedings of the 24th International Conference on Software Engineering
Packrat parsing:: simple, powerful, lazy, linear time, functional pearl
Proceedings of the seventh ACM SIGPLAN international conference on Functional programming
Compiling scheme to JVM bytecode:: a performance study
Proceedings of the seventh ACM SIGPLAN international conference on Functional programming
Applying software engineering techniques to parser design: the development of a C# parser
SAICSIT '02 Proceedings of the 2002 annual research conference of the South African institute of computer scientists and information technologists on Enablement through technology
Software restructuring: implementing a code abstraction transformation
SAICSIT '02 Proceedings of the 2002 annual research conference of the South African institute of computer scientists and information technologists on Enablement through technology
On the complexity analysis of static analyses
Journal of the ACM (JACM)
Ownership, encapsulation and the disjointness of type and effect
OOPSLA '02 Proceedings of the 17th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
Simple and effective array prefetching in Java
JGI '02 Proceedings of the 2002 joint ACM-ISCOPE conference on Java Grande
Designing reactive systems: integration of abstraction techniques into a synthesis procedure
Journal of Systems and Software - Special issue on artificial and computational intelligence for decisions, control, and automation in engineering and industrial applications
Consistently updating XML documents using incremental constraint check queries
Proceedings of the 4th international workshop on Web information and data management
Repairing syntax errors in LR parsers
ACM Transactions on Programming Languages and Systems (TOPLAS)
How to write system-specific, static checkers in metal
Proceedings of the 2002 ACM SIGPLAN-SIGSOFT workshop on Program analysis for software tools and engineering
Recompilation for debugging support in a JIT-compiler
Proceedings of the 2002 ACM SIGPLAN-SIGSOFT workshop on Program analysis for software tools and engineering
Using the observer design pattern for implementation of data flow analyses
Proceedings of the 2002 ACM SIGPLAN-SIGSOFT workshop on Program analysis for software tools and engineering
Flow equations as a generic programming tool for manipulation of attributed graphs
Proceedings of the 2002 ACM SIGPLAN-SIGSOFT workshop on Program analysis for software tools and engineering
Bytecode verification on Java smart cards
Software—Practice & Experience
Data-Flow Frameworks for Worst-Case Execution Time Analysis
Real-Time Systems
Automatic intra-register vectorization for the Intel architecture
International Journal of Parallel Programming
An efficient method for computing dynamic program slices
Information Processing Letters
Using redundancies to find errors
Proceedings of the 10th ACM SIGSOFT symposium on Foundations of software engineering
An I/O-Conscious Tiling Strategy for Disk-Resident Data Sets
The Journal of Supercomputing
Precise Data Locality Optimization of Nested Loops
The Journal of Supercomputing
Towards automated modification of legacy assets
Annals of Software Engineering
A System for Generating Reverse Engineering Tools: A Case Study of Software Modularisation
Automated Software Engineering
E-path_PRE: partial redundancy elimination made easy
ACM SIGPLAN Notices
Enabling unimodular transformations
Proceedings of the 1994 ACM/IEEE conference on Supercomputing
Cosimulation-based power estimation for system-on-chip design
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Information Processing and Management: an International Journal
Folklore confirmed: reducible flow graphs are exponentially larger
POPL '03 Proceedings of the 30th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Interprocedural compatibility analysis for static object preallocation
POPL '03 Proceedings of the 30th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Using redundancies to find errors
ACM SIGSOFT Software Engineering Notes
Bidirectional data flow analysis: myths and reality
ACM SIGPLAN Notices
Object-oriented symbol management in syntax-directed compiler systems
ACM SIGPLAN Notices
Concepts and realization of a diagram editor generator based on hypergraph transformation
Science of Computer Programming - Special issue on applications of graph transformations (GRATRA 2000)
Specification-based Testing for Gui-based Applications
Software Quality Control
A Vectorizing Compiler for Multimedia Extensions
International Journal of Parallel Programming
Path Analysis and Renaming for Predicated Instruction Scheduling
International Journal of Parallel Programming
Optimization of Machine Descriptions for Efficient Use
International Journal of Parallel Programming
Increasing the Instruction Fetch Rate via Block-Structured Instruction Set Architectures
International Journal of Parallel Programming
Combining Loop Transformations Considering Caches and Scheduling
International Journal of Parallel Programming
Exploiting Value Locality to Exceed the Dataflow Limit
International Journal of Parallel Programming
A Constant Propagation Algorithm for Explicitly Parallel Programs
International Journal of Parallel Programming
A Technique to Eliminate Redundant Inter-Processor Communication on Parallelizing Compiler TINPAR
International Journal of Parallel Programming
Automatic Intra-Register Vectorization for the Intel® Architecture
International Journal of Parallel Programming
Control Flow Regeneration for Software Pipelined Loops with Conditions
International Journal of Parallel Programming
Minimal deterministic left-to-right pattern-matching automata
ACM SIGPLAN Notices
A compiler for teaching about compilers
SIGCSE '03 Proceedings of the 34th SIGCSE technical symposium on Computer science education
Computing in Science and Engineering
Computer
Hardware-Software Cosynthesis for Microcontrollers
IEEE Design & Test
Design Challenges for New Application-Specific Processors
IEEE Design & Test
IEEE Micro
The MAP1000A VLIW Mediaprocessor
IEEE Micro
Test-Case Generation with IOGen
IEEE Software
Selecting and Using Data for Integration Testing
IEEE Software
Multibox Parsers: No More Handwritten Lexical Analyzers
IEEE Software
Run-Time Disambiguation: Coping with Statically Unpredictable Dependencies
IEEE Transactions on Computers
Vertical Migration of Software Functions and Algorithms Using Enhanced Microsequencing
IEEE Transactions on Computers
The Effect of Code Expanding Optimizations on Instruction Cache Design
IEEE Transactions on Computers
An Optimal Graph-Construction Approach to Placing Program Signatures for Signature Monitoring
IEEE Transactions on Computers
Testing Finite-State Machines: State Identification and Verification
IEEE Transactions on Computers
The Importance of Prepass Code Scheduling for Superscalar and Superpipelined Processors
IEEE Transactions on Computers
Three Architectural Models for Compiler-Controlled Speculative Execution
IEEE Transactions on Computers
Compiler-Assisted Multiple Instruction Rollback Recovery Using a Read Buffer
IEEE Transactions on Computers
IEEE Transactions on Computers
Prototyping Bubba, A Highly Parallel Database System
IEEE Transactions on Knowledge and Data Engineering
FLEX: A Tolerant and Cooperative User Interface to Databases
IEEE Transactions on Knowledge and Data Engineering
The Organization and Performance of a TREAT-Based Production System Compiler
IEEE Transactions on Knowledge and Data Engineering
A Trie Compaction Algorithm for a Large Set of Keys
IEEE Transactions on Knowledge and Data Engineering
Exploiting Parallelism Across Program Execution: A Unification Technique and Its Analysis
IEEE Transactions on Parallel and Distributed Systems
Accuracy of Memory Reference Traces of Parallel Computations in Trace-Drive Simulation
IEEE Transactions on Parallel and Distributed Systems
Automatic Extraction of Functional Parallelism from Ordinary Programs
IEEE Transactions on Parallel and Distributed Systems
Parallel Evaluation of Attribute Grammars
IEEE Transactions on Parallel and Distributed Systems
Loop-Level Parallelism in Numeric and Symbolic Programs
IEEE Transactions on Parallel and Distributed Systems
Making Compaction-Based Parallelization Affordable
IEEE Transactions on Parallel and Distributed Systems
Scheduling DAG's for Asynchronous Multiprocessor Execution
IEEE Transactions on Parallel and Distributed Systems
Incorporating Probabilistic Reasoning in a Reactive Program Debugging System
IEEE Expert: Intelligent Systems and Their Applications
An Algebra for Data Flow Diagram Process Decomposition
IEEE Transactions on Software Engineering
Horizon: A Retargetable Compiler for Horizontal Microarchitectures
IEEE Transactions on Software Engineering
Extending Typestate Checking Using Conditional Liveness Analysis
IEEE Transactions on Software Engineering
On the Efficient Engineering of Ambitious Program Analysis
IEEE Transactions on Software Engineering
Interprocedural Def-Use Associations for C Systems with Single Level Pointers
IEEE Transactions on Software Engineering
Compiling Real-Time Programs With Timing Constraint Refinement and Structural Code Motion
IEEE Transactions on Software Engineering
IEEE Transactions on Software Engineering
IEEE Transactions on Software Engineering
An Accurate Worst Case Timing Analysis for RISC Processors
IEEE Transactions on Software Engineering
Performance Characterization of Optimizing Compilers
IEEE Transactions on Software Engineering
Conversion of Units of Measurement
IEEE Transactions on Software Engineering
Region Analysis: A Parallel Elimination Method for Data Flow Analysis
IEEE Transactions on Software Engineering
Regular biosequence pattern matching with cellular automata
Information Sciences—Applications: An International Journal
Automatic detection and exploitation of branch constraints for timing analysis
IEEE Transactions on Software Engineering
An open framework for data-flow analysis in Java: extended abstract
PPPJ '02/IRE '02 Proceedings of the inaugural conference on the Principles and Practice of programming, 2002 and Proceedings of the second workshop on Intermediate representation engineering for virtual machines, 2002
A compact execution history for dynamic slicing
Information Processing Letters
Building a bridge between pointer aliases and program dependences
Nordic Journal of Computing
Optimal height reduction problems for tree-structured hierarchies
Nordic Journal of Computing
From relational program dependencies to hypertextual access structures
Nordic Journal of Computing
Delegating compiler objects: modularity and reusability in language engineering
Nordic Journal of Computing
Journal of Electronic Testing: Theory and Applications
Segmented Alignment: An Enhanced Model to Align Data Parallel Programs of HPF
The Journal of Supercomputing
A transformational approach to binary translation of delayed branches
ACM Transactions on Programming Languages and Systems (TOPLAS)
IEEE Transactions on Computers
An Efficient Algorithm for Matching Multiple Patterns
IEEE Transactions on Knowledge and Data Engineering
Evaluation and Optimization of Query Programs in an Object-Oriented and Symbolic Information System
IEEE Transactions on Knowledge and Data Engineering
Translation of conditional compilation
Nordic Journal of Computing
Decorating tokens to facilitate recognition of ambiguous language constructs
Software—Practice & Experience
P3: a code and design conventions preprocessor for Java
Software—Practice & Experience
On AC0 implementations of fusion trees and atomic heaps
SODA '03 Proceedings of the fourteenth annual ACM-SIAM symposium on Discrete algorithms
Universal Regular Path Queries
Higher-Order and Symbolic Computation
A finite state machine based format model of software pipelined loops with conditions
Progress in computer research
Some properties of the basis finite automaton
The Korean Journal of Computational & Applied Mathematics
Considering Workload Input Variations in Error Coverage Estimation
EDCC-3 Proceedings of the Third European Dependable Computing Conference on Dependable Computing
AToM3: A Tool for Multi-formalism and Meta-modelling
FASE '02 Proceedings of the 5th International Conference on Fundamental Approaches to Software Engineering
Automatic Validation of Protocol Interfaces Described in VHDL
Real-World Applications of Evolutionary Computing, EvoWorkshops 2000: EvoIASP, EvoSCONDI, EvoTel, EvoSTIM, EvoROB, and EvoFlight
ESOP '00 Proceedings of the 9th European Symposium on Programming Languages and Systems
On the Complexity of Constant Propagation
ESOP '01 Proceedings of the 10th European Symposium on Programming Languages and Systems
GCSE '99 Proceedings of the First International Symposium on Generative and Component-Based Software Engineering
Program Generation, Termination, and Binding-Time Analysis
GPCE '02 Proceedings of the 1st ACM SIGPLAN/SIGSOFT conference on Generative Programming and Component Engineering
Energy-Aware Instruction Scheduling
HiPC '00 Proceedings of the 7th International Conference on High Performance Computing
An Extensible Compiler for Creating Scriptable Scientific Software
ICCS '02 Proceedings of the International Conference on Computational Science-Part II
ICCS '02 Proceedings of the International Conference on Computational Science-Part II
Optimizing Register Spills for Eager Functional Languages
ICCS '01 Proceedings of the International Conference on Computational Science-Part II
Hybrid compiler/hardware prefetching for multiprocessors using low-overhead cache miss traps
ICPP '97 Proceedings of the international Conference on Parallel Processing
Data Distribution Analysis and Optimization for Pointer-Based Distributed Programs
ICPP '97 Proceedings of the international Conference on Parallel Processing
A Formal Specification of Java Virtual Machine Instructions for Objects, Methods and Subrountines
Formal Syntax and Semantics of Java
A Method for Register Allocation to Loops in Multiple Register File Architectures
IPPS '96 Proceedings of the 10th International Parallel Processing Symposium
Loop fusion and temporal common subexpression elimination in window-based loops
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Minimum Register Instruction Sequence Problem: Revisiting Optimal Code Generation for DAGs
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Copy Elimination for Parallelizing Compilers
LCPC '98 Proceedings of the 11th International Workshop on Languages and Compilers for Parallel Computing
I/O Granularity Transformations
LCPC '98 Proceedings of the 11th International Workshop on Languages and Compilers for Parallel Computing
The Advantages of Instance-Wise Reaching Definition Analyses in Array (S)SA
LCPC '98 Proceedings of the 11th International Workshop on Languages and Compilers for Parallel Computing
Comprehensive Redundant Load Elimination for the IA-64 Architecture
LCPC '99 Proceedings of the 12th International Workshop on Languages and Compilers for Parallel Computing
Minimum Register Instruction Scheduling: A New Approach for Dynamic Instruction Issue Processors
LCPC '99 Proceedings of the 12th International Workshop on Languages and Compilers for Parallel Computing
A Precise Fixpoint Reaching Definition Analysis for Arrays
LCPC '99 Proceedings of the 12th International Workshop on Languages and Compilers for Parallel Computing
C-MIX: Specialization of C Programs
Partial Evaluation - Practice and Theory, DIKU 1998 International Summer School
Semantics-Based Filtering: Logic Programming's Killer App?
PADL '02 Proceedings of the 4th International Symposium on Practical Aspects of Declarative Languages
Linear Scan Register Allocation in a High-Performance Erlang Compiler
PADL '02 Proceedings of the 4th International Symposium on Practical Aspects of Declarative Languages
Deriving Pre-conditions for Array Bound Check Elimination
PADO '01 Proceedings of the Second Symposium on Programs as Data Objects
Applications of Finite Automata
MFCS '02 Proceedings of the 27th International Symposium on Mathematical Foundations of Computer Science
Prospects for Simulated Annealing Algorithms in Automatic Differentiation
SAGA '01 Proceedings of the International Symposium on Stochastic Algorithms: Foundations and Applications
Type-Based Useless-Code Elimination for Functional Programs
SAIG '00 Proceedings of the International Workshop on Semantics, Applications, and Implementation of Program Generation
Design and Correctness of Program Transformations Based on Control-Flow Analysis
TACS '01 Proceedings of the 4th International Symposium on Theoretical Aspects of Computer Software
Deriving Production Rules for Constraint Maintainance
VLDB '90 Proceedings of the 16th International Conference on Very Large Data Bases
dSPIN: A Dynamic Extension of SPIN
Proceedings of the 5th and 6th International SPIN Workshops on Theoretical and Practical Aspects of SPIN Model Checking
Optimizing Boolean Expressions in Object-Bases
VLDB '92 Proceedings of the 18th International Conference on Very Large Data Bases
WAIM '02 Proceedings of the Third International Conference on Advances in Web-Age Information Management
Speculative Alias Analysis for Executable Code
Proceedings of the 2002 International Conference on Parallel Architectures and Compilation Techniques
A Framework for Parallelizing Load/Stores on Embedded Processors
Proceedings of the 2002 International Conference on Parallel Architectures and Compilation Techniques
Just-In-Time Java? Compilation for the Itanium® Processor
Proceedings of the 2002 International Conference on Parallel Architectures and Compilation Techniques
Derivation of Safety Requirements for Safety Analysis of Object-Oriented Design Documents
COMPSAC '97 Proceedings of the 21st International Computer Software and Applications Conference
Meta Languages in Algebraic Compilers
AMAST '00 Proceedings of the 8th International Conference on Algebraic Methodology and Software Technology
Removing Redundant Arguments of Functions
AMAST '02 Proceedings of the 9th International Conference on Algebraic Methodology and Software Technology
Expressing Graphical User's Input for Test Specifications
EDCIS '02 Proceedings of the First International Conference on Engineering and Deployment of Cooperative Information Systems
XML-Based Applications Using XML Schema
EDBT '02 Proceedings of the Worshops XMLDM, MDDE, and YRWS on XML-Based Data Management and Multimedia Engineering-Revised Papers
Automatic Synthesis of Agent Designs in UML
FAABS '00 Proceedings of the First International Workshop on Formal Approaches to Agent-Based Systems-Revised Papers
Lambda-Lifting in Quadratic Time
FLOPS '02 Proceedings of the 6th International Symposium on Functional and Logic Programming
Reducing the Memory Complexity of Type-Inference Algorithms
ICICS '02 Proceedings of the 4th International Conference on Information and Communications Security
Automatic Specialization of Java Programs in the Distributed Environment
ICOIN '02 Revised Papers from the International Conference on Information Networking, Wireless Communications Technologies and Network Applications-Part II
The Impact of Alias Analysis on VLIW Scheduling
ISHPC '02 Proceedings of the 4th International Symposium on High Performance Computing
From DFA-Frameworks to DFA-Generators: A Unifying Multiparadigm Approach
TACAS '99 Proceedings of the 5th International Conference on Tools and Algorithms for Construction and Analysis of Systems
Using Static Analysis to Improve Automatic Test Generation
TACAS '00 Proceedings of the 6th International Conference on Tools and Algorithms for Construction and Analysis of Systems: Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS 2000
From Object-Process Diagrams to a Natural Object-Process Language
NGIT '99 Proceedings of the 4th International Workshop on Next Generation Information Technologies and Systems
Building a Tool for the Analysis and Testing of Web Applications: Problems and Solutions
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Static Monotonicity Analysis for lambda-definable Functions over Lattices
VMCAI '02 Revised Papers from the Third International Workshop on Verification, Model Checking, and Abstract Interpretation
Interprocedural Symbolic Evaluation of Ada Programs with Aliases
Ada-Europe '99 Proceedings of the 1999 Ada-Europe International Conference on Reliable Software Technologies
DataFAN: A Practical Approach to Data Flow Analysis for Ada 95
Ada-Europe '02 Proceedings of the 7th Ada-Europe International Conference on Reliable Software Technologies
TINMAN: A Resource Bound Security Checking System for Mobile Code
ESORICS '02 Proceedings of the 7th European Symposium on Research in Computer Security
Load Redundancy Elimination on Executable Code
Euro-Par '01 Proceedings of the 7th International Euro-Par Conference Manchester on Parallel Processing
Handling Irreducible Loops: Optimized Node Splitting vs. DJ-Graphs
Euro-Par '01 Proceedings of the 7th International Euro-Par Conference Manchester on Parallel Processing
Formal Synthesis at the Algorithmic Level
CHARME '99 Proceedings of the 10th IFIP WG 10.5 Advanced Research Working Conference on Correct Hardware Design and Verification Methods
The Treewidth of Java Programs
ALENEX '02 Revised Papers from the 4th International Workshop on Algorithm Engineering and Experiments
Reliable and Precise WCET Determination for a Real-Life Processor
EMSOFT '01 Proceedings of the First International Workshop on Embedded Software
The Essence of Program Transformation by Partial Evaluation and Driving
PSI '99 Proceedings of the Third International Andrei Ershov Memorial Conference on Perspectives of System Informatics
An Empirical Study of Retargetable Compilers
PSI '02 Revised Papers from the 4th International Andrei Ershov Memorial Conference on Perspectives of System Informatics: Akademgorodok, Novosibirsk, Russia
A Framework for Enhancing Code Quality in Limited Register Set Embedded Processors
LCTES '00 Proceedings of the ACM SIGPLAN Workshop on Languages, Compilers, and Tools for Embedded Systems
Array Reference Allocation Using SSA-Form and Live Range Growth
LCTES '00 Proceedings of the ACM SIGPLAN Workshop on Languages, Compilers, and Tools for Embedded Systems
Taking the RDF Model Theory Out for a Spin
ISWC '02 Proceedings of the First International Semantic Web Conference on The Semantic Web
Determining Type of TIL Construction with Verb Valency Analyser
SOFSEM '98 Proceedings of the 25th Conference on Current Trends in Theory and Practice of Informatics: Theory and Practice of Informatics
Static Analysis of Barrier Synchronization in Explicitly Parallel Programs
PACT '94 Proceedings of the IFIP WG10.3 Working Conference on Parallel Architectures and Compilation Techniques
Automatic Data Layout Using 0-1 Integer Programming
PACT '94 Proceedings of the IFIP WG10.3 Working Conference on Parallel Architectures and Compilation Techniques
Towards a Programming Environment for a Computer with Intelligent Memory
PACT '94 Proceedings of the IFIP WG10.3 Working Conference on Parallel Architectures and Compilation Techniques
A PDG-based Tool and its Use in Analyzing Program Control Dependences
PACT '94 Proceedings of the IFIP WG10.3 Working Conference on Parallel Architectures and Compilation Techniques
Code Generation for Multi-Threaded Architectures from Dataflow Graphs
PACT '93 Proceedings of the IFIP WG10.3. Working Conference on Architectures and Compilation Techniques for Fine and Medium Grain Parallelism
Contribution of Compilation Techniques to the Synthesis of Dedicated VLIW Architectures
PACT '93 Proceedings of the IFIP WG10.3. Working Conference on Architectures and Compilation Techniques for Fine and Medium Grain Parallelism
Selective Scheduling Framework for Speculative Operations in VLIW and Superscalar Processors
PACT '93 Proceedings of the IFIP WG10.3. Working Conference on Architectures and Compilation Techniques for Fine and Medium Grain Parallelism
High Level Compiling for Low Level Machines
PACT '93 Proceedings of the IFIP WG10.3. Working Conference on Architectures and Compilation Techniques for Fine and Medium Grain Parallelism
Balancing Fine- and Medium-Grained Parallelism in Scheduling Loops for the XIMD Architecture
PACT '93 Proceedings of the IFIP WG10.3. Working Conference on Architectures and Compilation Techniques for Fine and Medium Grain Parallelism
A Decoupled Architecture for Application-Specific File Prefetching
Proceedings of the FREENIX Track: 2002 USENIX Annual Technical Conference
From SIGNAL to fine-grain parallel implementations
PACT '94 Proceedings of the IFIP WG10.3 Working Conference on Parallel Architectures and Compilation Techniques
Polynomial Constants Are Decidable
SAS '02 Proceedings of the 9th International Symposium on Static Analysis
Sparse Jacobian Computation in Automatic Differentiation by Static Program Analysis
SAS '98 Proceedings of the 5th International Symposium on Static Analysis
Analysis of Imperative Programs through Analysis of Constraint Logic Programs
SAS '98 Proceedings of the 5th International Symposium on Static Analysis
State Space Reduction Based on Live Variables Analysis
SAS '99 Proceedings of the 6th International Symposium on Static Analysis
Partial Dead Code Elimination Using Extended Value Graph
SAS '99 Proceedings of the 6th International Symposium on Static Analysis
Eliminating Dead Code on Recursive Data
SAS '99 Proceedings of the 6th International Symposium on Static Analysis
Translating Out of Static Single Assignment Form
SAS '99 Proceedings of the 6th International Symposium on Static Analysis
Run-Time Extensible (Semi-)Top-Down Parser
TSD '99 Proceedings of the Second International Workshop on Text, Speech and Dialogue
Fast Regular Expression Search
WAE '99 Proceedings of the 3rd International Workshop on Algorithm Engineering
Compact DFA Representation for Fast Regular Expression Search
WAE '01 Proceedings of the 5th International Workshop on Algorithm Engineering
Bi-directional Automata to Extract Complex Phrases from Texts
WIA '98 Revised Papers from the Third International Workshop on Automata Implementation
CIAA '00 Revised Papers from the 5th International Conference on Implementation and Application of Automata
Generic epsilon -Removal Algorithm for Weighted Automata
CIAA '00 Revised Papers from the 5th International Conference on Implementation and Application of Automata
Extraction of epsilon-Cyclesfrom Finite-State Transducers
CIAA '01 Revised Papers from the 6th International Conference on Implementation and Application of Automata
Analysis of Compiled Code: A Prototype Formal Model
ZB '00 Proceedings of the First International Conference of B and Z Users on Formal Specification and Development in Z and B
Theory and Generality of Complete Traversals
Selected Papers from the International Seminar on Generic Programming
Extended Static Checking: A Ten-Year Perspective
Informatics - 10 Years Back. 10 Years Ahead.
Understanding Algorithms by Means of Visualized Path Testing
Revised Lectures on Software Visualization, International Seminar
Call Graph and Control Flow Graph Visualization for Developers of Embedded Applications
Revised Lectures on Software Visualization, International Seminar
Advanced Compiler Optimization for Calm RISC8 Low-End Embedded Processor
CC '00 Proceedings of the 9th International Conference on Compiler Construction
Analysis of Irregular Single-Indexed Array Accesses and Its Applications in Compiler Optimizations
CC '00 Proceedings of the 9th International Conference on Compiler Construction
Pipelined Java Virtual Machine Interpreters
CC '00 Proceedings of the 9th International Conference on Compiler Construction
Optimizing Java Bytecode Using the Soot Framework: Is It Feasible?
CC '00 Proceedings of the 9th International Conference on Compiler Construction
Constant Propagation on the Value Graph: Simple Constants and Beyond
CC '00 Proceedings of the 9th International Conference on Compiler Construction
Optimal Live Range Merge for Address Register Allocation in Embedded Programs
CC '01 Proceedings of the 10th International Conference on Compiler Construction
Directly-Executable Earley Parsing
CC '01 Proceedings of the 10th International Conference on Compiler Construction
Imperative Program Transformation by Rewriting
CC '01 Proceedings of the 10th International Conference on Compiler Construction
A Practical, Robust Method for Generating Variable Range Tables
CC '01 Proceedings of the 10th International Conference on Compiler Construction
A Graph-Free Approach to Data-Flow Analysis
CC '02 Proceedings of the 11th International Conference on Compiler Construction
Linear Scan Register Allocation in the Context of SSA Form and Register Constraints
CC '02 Proceedings of the 11th International Conference on Compiler Construction
Disambiguation Filters for Scannerless Generalized LR Parsers
CC '02 Proceedings of the 11th International Conference on Compiler Construction
A Deterministic Shift-Reduce Parser Generator for a Logic Programming Language
CL '00 Proceedings of the First International Conference on Computational Logic
Constraint-Based Termination Analysis for Cyclic Active Database Rules
CL '00 Proceedings of the First International Conference on Computational Logic
Improvements in Coverability Analysis
FME '02 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods - Getting IT Right
On Excusable and Inexcusable Failures
FM '99 Proceedings of the Wold Congress on Formal Methods in the Development of Computing Systems-Volume II
Gambit: A Tool for the Simultaneous Placement and Detailed Routing of Gate-Arrays
FPL '01 Proceedings of the 11th International Conference on Field-Programmable Logic and Applications
Simultaneous Evaluation of Multiple Topics in SIETTE
ITS '02 Proceedings of the 6th International Conference on Intelligent Tutoring Systems
A Practical and Effective Approach to Large-Scale Automated Linguistic Steganography
ISC '01 Proceedings of the 4th International Conference on Information Security
Experiences Porting the Jikes RVM to Linux/IA32
Proceedings of the 2nd Java Virtual Machine Research and Technology Symposium
Menhir: An Environment for High Performance Matlab
LCR '98 Selected Papers from the 4th International Workshop on Languages, Compilers, and Run-Time Systems for Scalable Computers
Static Analysis for Guarded Code
LCR '00 Selected Papers from the 5th International Workshop on Languages, Compilers, and Run-Time Systems for Scalable Computers
A Framework for Efficient Register Allocation through Selective Register Demotion
LCR '00 Selected Papers from the 5th International Workshop on Languages, Compilers, and Run-Time Systems for Scalable Computers
Optimizing Mutual Exclusion Synchronization in Explicitly Parallel Programs
LCR '00 Selected Papers from the 5th International Workshop on Languages, Compilers, and Run-Time Systems for Scalable Computers
YACAS: A Do-It-Yourself Symbolic Algebra Environment
AISC '02/Calculemus '02 Proceedings of the Joint International Conferences on Artificial Intelligence, Automated Reasoning, and Symbolic Computation
On OCR of Degraded Documents Using Fuzzy Multifactorial Analysis
AFSS '02 Proceedings of the 2002 AFSS International Conference on Fuzzy Systems. Calcutta: Advances in Soft Computing
Regular Expression Searching over Ziv-Lempel Compressed Text
CPM '01 Proceedings of the 12th Annual Symposium on Combinatorial Pattern Matching
Improving the Precision of Equality-Based Dataflow Analyses
SAS '02 Proceedings of the 9th International Symposium on Static Analysis
IFL '98 Selected Papers from the 10th International Workshop on 10th International Workshop
Algorithms for computing the static single assignment form
Journal of the ACM (JACM)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A Model for Mobile Code Using Interacting Automata
IEEE Transactions on Mobile Computing
Programming methodology
Automatic derivation of path and loop annotations in object-oriented real-time programs
Engineering of distributed control systems
Journal of Computing Sciences in Colleges
Functional programming concepts and straight-line programs in computer algebra
Mathematics and Computers in Simulation
State space reduction based on live variables analysis
Science of Computer Programming - Special issue on static analysis (SAS'99)
Eliminating dead code on recursive data
Science of Computer Programming - Special issue on static analysis (SAS'99)
Compiler-directed run-time monitoring of program data access
Proceedings of the 2002 workshop on Memory system performance
An efficient static analysis algorithm to detect redundant memory operations
Proceedings of the 2002 workshop on Memory system performance
A faster optimal register allocator
Proceedings of the 35th annual ACM/IEEE international symposium on Microarchitecture
Compiler-generated communication for pipelined FPGA applications
Proceedings of the 40th annual Design Automation Conference
Optimization for the Intel® Itanium® architecture register stack
Proceedings of the international symposium on Code generation and optimization: feedback-directed and runtime optimization
Model elimination and connection tableau procedures
Handbook of automated reasoning
A System for Building Intelligent Agents that Learn to Retrieve and Extract Information
User Modeling and User-Adapted Interaction
Advanced copy propagation for arrays
Proceedings of the 2003 ACM SIGPLAN conference on Language, compiler, and tool for embedded systems
Storage assignment optimizations through variable coalescence for embedded processors
Proceedings of the 2003 ACM SIGPLAN conference on Language, compiler, and tool for embedded systems
Memory disambiguation for general-purpose applications
CASCON '95 Proceedings of the 1995 conference of the Centre for Advanced Studies on Collaborative research
A static measure of a subset of intra-procedural data flow testing coverage based on node coverage
CASCON '99 Proceedings of the 1999 conference of the Centre for Advanced Studies on Collaborative research
Soot - a Java bytecode optimization framework
CASCON '99 Proceedings of the 1999 conference of the Centre for Advanced Studies on Collaborative research
Supporting procedural constructs in existing SQL compilers
CASCON '96 Proceedings of the 1996 conference of the Centre for Advanced Studies on Collaborative research
A framework for incremental extensible compiler construction
ICS '03 Proceedings of the 17th annual international conference on Supercomputing
Automatic fence insertion for shared memory multiprocessing
ICS '03 Proceedings of the 17th annual international conference on Supercomputing
On core and more: a design perspective for systems-on-a-chip
ASAP '97 Proceedings of the IEEE International Conference on Application-Specific Systems, Architectures and Processors
Address code generation for DSP instruction-set architectures
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Code density optimization for embedded DSP processors using data compression techniques
ARVLSI '95 Proceedings of the 16th Conference on Advanced Research in VLSI (ARVLSI'95)
A Specification Invariant Technique for Regularity Improvement between Flow-Graph Clusters
EDTC '96 Proceedings of the 1996 European conference on Design and Test
Optimal Code Placement of Embedded Software for Instruction Caches
EDTC '96 Proceedings of the 1996 European conference on Design and Test
Reducing Address Bus Transitions for Low Power Memory Mapping
EDTC '96 Proceedings of the 1996 European conference on Design and Test
Efficient Utilization of Scratch-Pad Memory in Embedded Processor Applications
EDTC '97 Proceedings of the 1997 European conference on Design and Test
The Interplay of Run-Time Estimation and Granularity in HW/SW Partitioning
CODES '96 Proceedings of the 4th International Workshop on Hardware/Software Co-Design
MicroUnity Software Development Environment
COMPCON '96 Proceedings of the 41st IEEE International Computer Conference
UltraSPARC: Compiling for Maximum Floating Point Performance
COMPCON '96 Proceedings of the 41st IEEE International Computer Conference
FCCM '99 Proceedings of the Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines
Detection of Implicit Parallelisms in the Task Parallel Language
HPC-ASIA '97 Proceedings of the High-Performance Computing on the Information Superhighway, HPC-Asia '97
A source-level transformation framework for RPC-based distributed programs
HPDC '96 Proceedings of the 5th IEEE International Symposium on High Performance Distributed Computing
A front-end to deductive database systems for multiparadigm programming
SEEP '96 Proceedings of the 1996 International Conference on Software Engineering: Education and Practice (SE:EP '96)
A Visual Programming Interface for Smalltalk
TOOLS '97 Proceedings of the Tools-23: Technology of Object-Oriented Languages and Systems
The Translator Pattern - External Functionality with Homomorphic Mappings
TOOLS '97 Proceedings of the Tools-23: Technology of Object-Oriented Languages and Systems
Traveling Through Dakota: Experiences with an Object-Oriented Program Analysis System
TOOLS '00 Proceedings of the Technology of Object-Oriented Languages and Systems (TOOLS 34'00)
Allocation and Binding in Data Path Synthesis Using a Genetic Algorithm Approach
VLSID '96 Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
Input Space Adaptive Embedded Software Synthesis
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
Graph Transformations for Improved Tree Height Reduction
VLSID '03 Proceedings of the 16th International Conference on VLSI Design
SPARK: A High-Lev l Synthesis Framework For Applying Parallelizing Compiler Transformations
VLSID '03 Proceedings of the 16th International Conference on VLSI Design
Automatic shader level of detail
Proceedings of the ACM SIGGRAPH/EUROGRAPHICS conference on Graphics hardware
Identifying parallelism in programs with cyclic graphs
Journal of Parallel and Distributed Computing
Optimal insertion in deterministic DAWGs
Theoretical Computer Science
A Methodology and Tool for Automated Transformational High-Level Design Space Exploration
ICCD '00 Proceedings of the 2000 IEEE International Conference on Computer Design: VLSI in Computers & Processors
ICSM '01 Proceedings of the IEEE International Conference on Software Maintenance (ICSM'01)
Reverse Engineering of the UML Class Diagram from C++ Code in Presence of Weakly Typed Containers
ICSM '01 Proceedings of the IEEE International Conference on Software Maintenance (ICSM'01)
The Build-Time Software Architecture View
ICSM '01 Proceedings of the IEEE International Conference on Software Maintenance (ICSM'01)
Syntax-Directed Construction of Value Dependence Graphs
ICSM '01 Proceedings of the IEEE International Conference on Software Maintenance (ICSM'01)
Flow Analysis to Detect Blocked Statements
ICSM '01 Proceedings of the IEEE International Conference on Software Maintenance (ICSM'01)
Implementation Techniques for Efficient Data-Flow Analysis of Large Programs
ICSM '01 Proceedings of the IEEE International Conference on Software Maintenance (ICSM'01)
Memory Hierarchy Optimization of Multimedia Applications on Programmable Embedded Cores 1
ISQED '01 Proceedings of the 2nd International Symposium on Quality Electronic Design
Compiler Scheduling of Mobile Agents for Minimizing Overheads
ICDCS '03 Proceedings of the 23rd International Conference on Distributed Computing Systems
Identifying Parallelism in Programs with Cyclic Graphs
ICPP '00 Proceedings of the Proceedings of the 2000 International Conference on Parallel Processing
A New Class Generation Mechanism by Method Integration
ICSR '98 Proceedings of the 5th International Conference on Software Reuse
Testing Strategies for Form-Based Visual Programs
ISSRE '97 Proceedings of the Eighth International Symposium on Software Reliability Engineering
ATACOBOL: A COBOL Test Coverage Analysis Tool and Its Applications
ISSRE '00 Proceedings of the 11th International Symposium on Software Reliability Engineering
DSP processor/compiler co-design: a quantitative approach
ISSS '96 Proceedings of the 9th international symposium on System synthesis
Size-Constrained Code Placement for Cache Miss Rate Reduction
ISSS '96 Proceedings of the 9th international symposium on System synthesis
Instruction Set Design and Optimizations for Address Computation in DSP Architectures
ISSS '96 Proceedings of the 9th international symposium on System synthesis
An Efficient ILP-Based Scheduling Algorithm for Control-Dominated VHDL Descriptions
ISSS '96 Proceedings of the 9th international symposium on System synthesis
ADOPT: Efficient Hardware Address Generation in Distributed Memory Architectures
ISSS '96 Proceedings of the 9th international symposium on System synthesis
Grammar-based Hardware Synthesis of Data Communication Protocols
ISSS '96 Proceedings of the 9th international symposium on System synthesis
Bus-Based Communication Synthesis on System-Level
ISSS '96 Proceedings of the 9th international symposium on System synthesis
IWSSD '96 Proceedings of the 8th International Workshop on Software Specification and Design
Using Graph Models in Retargetable Optimizing Compilers for Microprocessors with VLIW Architectures
Cybernetics and Systems Analysis
High-level algorithmic complexity evaluation for system design
Journal of Systems Architecture: the EUROMICRO Journal
Automated scientific software scripting with SWIG
Future Generation Computer Systems - Tools for program development and analysis
Quality-driven software re-engineering
Journal of Systems and Software - Special issue on: Software architecture - Engineering quality attributes
Structure-preserving binary relations for program abstraction
The essence of computation
The abstraction and instantiation of string-matching programs
The essence of computation
On predictive parsing and extended context-free grammars
Computer Science in Perspective
A Formal Method to Software Integration in Reuse
COMPSAC '96 Proceedings of the 20th Conference on Computer Software and Applications
Detection of Infeasible Paths Using Presburger Arithmetic
COMPSAC '96 Proceedings of the 20th Conference on Computer Software and Applications
Efficient algorithms for testing the twins property
Journal of Automata, Languages and Combinatorics - Special issue: Selected papers of the workshop weighted automata: Theory and applications (Dresden University of Technology (Germany), March 4-8, 2002)
Partial redundancy elimination: a simple, pragmatic, and provably correct algorithm
Science of Computer Programming
A Generalized Framework for Global Communication Optimization
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
How Many CLBs Does Your Circuit Need to be Implemented?
RSP '01 Proceedings of the 12th International Workshop on Rapid System Prototyping
Survey of code-size reduction methods
ACM Computing Surveys (CSUR)
Automatic generation of intelligent diagram editors
ACM Transactions on Computer-Human Interaction (TOCHI)
On Machine Understanding of Online Handwritten Mathematical Expressions
ICDAR '03 Proceedings of the Seventh International Conference on Document Analysis and Recognition - Volume 1
Proceedings of the 9th European software engineering conference held jointly with 11th ACM SIGSOFT international symposium on Foundations of software engineering
Intelligent Web agents that learn to retrieve and extract information
Intelligent exploration of the web
Logical Structure Analysis and Generation for Structured Documents: A Syntactic Approach
IEEE Transactions on Knowledge and Data Engineering
Deriving process networks from weakly dynamic applications in system-level design
Proceedings of the 1st IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Iterative-free program analysis
ICFP '03 Proceedings of the eighth ACM SIGPLAN international conference on Functional programming
ACM Transactions on Programming Languages and Systems (TOPLAS)
Extending Java for high-level Web service construction
ACM Transactions on Programming Languages and Systems (TOPLAS)
Constrained software generation for hardware-software systems
CODES '94 Proceedings of the 3rd international workshop on Hardware/software co-design
An approach to the adaptation of estimated cost parameters in the COSYMA system
CODES '94 Proceedings of the 3rd international workshop on Hardware/software co-design
CODES '94 Proceedings of the 3rd international workshop on Hardware/software co-design
Redesigning hardware-software systems
CODES '94 Proceedings of the 3rd international workshop on Hardware/software co-design
Obfuscation of design intent in object-oriented applications
Proceedings of the 3rd ACM workshop on Digital rights management
A new algorithm for the construction of minimal acyclic DFAs
Science of Computer Programming
Reducing NFAs by invariant equivalences
Theoretical Computer Science
LIMP: an interpreted programming language for students, professors and programmers
Journal of Computing Sciences in Colleges
Acceptability-oriented computing
OOPSLA '03 Companion of the 18th annual ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
Web application quality: supporting maintenance and testing
Information modeling for internet applications
A design representation for high level synthesis
EURO-DAC '90 Proceedings of the conference on European design automation
Compressing MIPS code by multiple operand dependencies
ACM Transactions on Embedded Computing Systems (TECS)
Area and performance optimizations in path-based scheduling
EURO-DAC '91 Proceedings of the conference on European design automation
GENVIEW: a portable source-level debugger for macrocell generators
EURO-DAC '91 Proceedings of the conference on European design automation
Global Analysis and Transformations in Preprocessed Languages
IEEE Transactions on Software Engineering
Synchronization analysis for decentralizing composite Web services
Proceedings of the 2003 ACM symposium on Applied computing
Pointer analysis of multithreaded Java programs
Proceedings of the 2003 ACM symposium on Applied computing
Information and Computation
Hardcoding finite state automata processing
SAICSIT '03 Proceedings of the 2003 annual research conference of the South African institute of computer scientists and information technologists on Enablement through technology
Stretching and jamming of automata
SAICSIT '03 Proceedings of the 2003 annual research conference of the South African institute of computer scientists and information technologists on Enablement through technology
Software confederations: an architecture for global systems and global management
Managing globally with information technology
Light-weight xPath processing of XML stream with deterministic automata
CIKM '03 Proceedings of the twelfth international conference on Information and knowledge management
Automating XML document structure transformations
Proceedings of the 2003 ACM symposium on Document engineering
Syntax-Directed Amorphous Slicing
Automated Software Engineering
IEEE Transactions on Software Engineering
Experiences in program understanding
CASCON '92 Proceedings of the 1992 conference of the Centre for Advanced Studies on Collaborative research - Volume 1
Efficient event generation for detecting races
CASCON '93 Proceedings of the 1993 conference of the Centre for Advanced Studies on Collaborative research: software engineering - Volume 1
Register allocation for optimal loop scheduling
CASCON '93 Proceedings of the 1993 conference of the Centre for Advanced Studies on Collaborative research: distributed computing - Volume 2
Energy management of virtual memory on diskless devices
Compilers and operating systems for low power
Propagating constants past software to hardware peripherals on fixed-application embedded systems
Compilers and operating systems for low power
Simple relational correctness proofs for static analyses and program transformations
Proceedings of the 31st ACM SIGPLAN-SIGACT symposium on Principles of programming languages
A characterization of Thompson digraphs
Discrete Applied Mathematics
The ART of compiler construction projects
ACM SIGPLAN Notices
Acceptability-oriented computing
ACM SIGPLAN Notices
Modular control-flow analysis with rank 2 intersection types
Mathematical Structures in Computer Science
Homotopy invariants of higher dimensional categories and concurrency in computer science
Mathematical Structures in Computer Science
What can we gain by unfolding loops?
ACM SIGPLAN Notices
Kleisli, a functional query system
Journal of Functional Programming
Regular expression searching on compressed text
Journal of Discrete Algorithms
Compiling for template-based run-time code generation
Journal of Functional Programming
Code generation techniques for developing light-weight XML Web services for embedded devices
Proceedings of the 2004 ACM symposium on Applied computing
A relational approach to software metrics
Proceedings of the 2004 ACM symposium on Applied computing
Set constraints for destructive array update optimization
Journal of Functional Programming
System Design for DSP Applications Using the MASIC Methodology
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Journal of Functional Programming
Crossroads
Handling context-sensitive syntactic issues in the design of a front-end for a MATLAB compiler
APL '00 Proceedings of the international conference on APL-Berlin-2000 conference
Instruction Scheduling for Low Power
Journal of VLSI Signal Processing Systems
Attribute based compiler implemented using visitor pattern
Proceedings of the 35th SIGCSE technical symposium on Computer science education
Treatment of epsilon moves in subset construction
Computational Linguistics - Special issue on finite-state methods in NLP
Generalized probabilistic LR parsing of natural language (Corpora) with unification-based grammars
Computational Linguistics - Special issue on using large corpora: I
Probabilistic top-down parsing and language modeling
Computational Linguistics
Finite-state transducers in language and speech processing
Computational Linguistics
A rule-based hyphenator for Modern Greek
Computational Linguistics
Critical tokenization and its properties
Computational Linguistics
Traversals of object structures: Specification and Efficient Implementation
ACM Transactions on Programming Languages and Systems (TOPLAS)
Resolving non-determinism in NFA
CompSysTech '03 Proceedings of the 4th international conference conference on Computer systems and technologies: e-Learning
Natural Language Engineering
A natural language system for retrieval of captioned images
Natural Language Engineering
Applied morphological processing of English
Natural Language Engineering
Removing left recursion from context-free grammars
NAACL 2000 Proceedings of the 1st North American chapter of the Association for Computational Linguistics conference
Natural Language Engineering
A practical part-of-speech tagger
ANLC '92 Proceedings of the third conference on Applied natural language processing
An interactive translation support facility for non-professional users
ANLC '97 Proceedings of the fifth conference on Applied natural language processing
On some applications of finite-state automata theory to natural language processing
Natural Language Engineering
Solving problems for maximal reducible flowgraphs
Discrete Applied Mathematics - The 1st cologne-twente workshop on graphs and combinatorial optimization (CTW 2001)
Combining compiler and runtime IPC predictions to reduce energy in next generation architectures
Proceedings of the 1st conference on Computing frontiers
Parallel simulation of orography influence on large-scale atmosphere motion on APEmille
Proceedings of the 1st conference on Computing frontiers
SYZYGY - A Framework for Scalable Cross-Module IPO
Proceedings of the international symposium on Code generation and optimization: feedback-directed and runtime optimization
Precise interprocedural dependence analysis of parallel programs
Theoretical Computer Science
Strength reduction for loop-invariant types
ACSC '04 Proceedings of the 27th Australasian conference on Computer science - Volume 26
An alternative LR algorithm for TAGS
COLING '98 Proceedings of the 17th international conference on Computational linguistics - Volume 2
LR recursive transition networks for Earley and Tomita parsing
ACL '91 Proceedings of the 29th annual meeting on Association for Computational Linguistics
An extended theory of head-driven parsing
ACL '94 Proceedings of the 32nd annual meeting on Association for Computational Linguistics
Relating complexity to practical performance in parsing with wide-coverage unification grammars
ACL '94 Proceedings of the 32nd annual meeting on Association for Computational Linguistics
An efficient compiler for weighted rewrite rules
ACL '96 Proceedings of the 34th annual meeting on Association for Computational Linguistics
Incremental parser generation for Tree Adjoining Grammars
ACL '96 Proceedings of the 34th annual meeting on Association for Computational Linguistics
An integrated framework for semantic and pragmatic interpretation
ACL '88 Proceedings of the 26th annual meeting on Association for Computational Linguistics
Fast and compact updating algorithms of a double-array structure
Information Sciences—Informatics and Computer Science: An International Journal
Real-Time Imaging - Special issue on software engineering
Finding dominators revisited: extended abstract
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
Analyzing the effectiveness of fault-management architectures in layered distributed systems
Performance Evaluation - Dependable systems and networks-performance and dependability symposium (DSN-PDS) 2002: Selected papers
Extraction and recoding of input-ε-cycles in finite state transducers
Theoretical Computer Science - Implementation and application automata
Context-free grammar induction using genetic programming
ACM-SE 42 Proceedings of the 42nd annual Southeast regional conference
Journal of Systems and Software
How to build LR parsers which accept incomplete input
ACM SIGPLAN Notices
Optimization of html automatically generated by wysiwyg programs
Proceedings of the 13th international conference on World Wide Web
ACM SIGPLAN Notices - Best of PLDI 1979-1999
Predicting program behavior using real or estimated profiles
ACM SIGPLAN Notices - Best of PLDI 1979-1999
The essence of compiling with continuations
ACM SIGPLAN Notices - Best of PLDI 1979-1999
TIL: a type-directed, optimizing compiler for ML
ACM SIGPLAN Notices - Best of PLDI 1979-1999
Complete removal of redundant expressions
ACM SIGPLAN Notices - Best of PLDI 1979-1999
A fast Fourier transform compiler
ACM SIGPLAN Notices - Best of PLDI 1979-1999
Reducing memory requirements in reachability-based finite automata operations
Software—Practice & Experience
Computing first and follow functions for feature theoretic grammars
COLING '94 Proceedings of the 15th conference on Computational linguistics - Volume 2
COLING '88 Proceedings of the 12th conference on Computational linguistics - Volume 1
COLING '94 Proceedings of the 15th conference on Computational linguistics - Volume 1
Left-corner parsing and psychological plausibility
COLING '92 Proceedings of the 14th conference on Computational linguistics - Volume 1
One Instruction Set Computers for Image Processing
Journal of VLSI Signal Processing Systems
Connectivity in bag generation
COLING '96 Proceedings of the 16th conference on Computational linguistics - Volume 1
Strategies and analysis techniques in functional program optimization
AI Communications - STAIRS 2002
Compiler Optimization Correctness by Temporal Logic
Higher-Order and Symbolic Computation
Automatic correct scheduling of control flow intensive behavioral descriptions in formal synthesis
Proceedings of the 41st annual Design Automation Conference
Automated energy/performance macromodeling of embedded software
Proceedings of the 41st annual Design Automation Conference
System design for DSP applications in transaction level modeling paradigm
Proceedings of the 41st annual Design Automation Conference
Balancing register allocation across threads for a multithreaded network processor
Proceedings of the ACM SIGPLAN 2004 conference on Programming language design and implementation
ACM SIGPLAN Notices
Power-efficient prefetching via bit-differential offset assignment on embedded processors
Proceedings of the 2004 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Constraining Tree Adjoining Grammars by unification
COLING '90 Proceedings of the 13th conference on Computational linguistics - Volume 2
Generating Tests from Counterexamples
Proceedings of the 26th International Conference on Software Engineering
Static Checking of Dynamically Generated Queries in Database Applications
Proceedings of the 26th International Conference on Software Engineering
A unified framework for nonlinear dependence testing and symbolic analysis
Proceedings of the 18th annual international conference on Supercomputing
Software validation via scalable path-sensitive value flow analysis
ISSTA '04 Proceedings of the 2004 ACM SIGSOFT international symposium on Software testing and analysis
Testing of java web services for robustness
ISSTA '04 Proceedings of the 2004 ACM SIGSOFT international symposium on Software testing and analysis
An optimizing compiler for batches of temporal logic formulas
ISSTA '04 Proceedings of the 2004 ACM SIGSOFT international symposium on Software testing and analysis
SABER: smart analysis based error reduction
ISSTA '04 Proceedings of the 2004 ACM SIGSOFT international symposium on Software testing and analysis
Understanding Web query interfaces: best-effort parsing with hidden syntax
SIGMOD '04 Proceedings of the 2004 ACM SIGMOD international conference on Management of data
Using XML in a compiler course
Proceedings of the 9th annual SIGCSE conference on Innovation and technology in computer science education
Alias analysis in Java with reference-set representation for high-performance computing
International Journal of Parallel Programming
Static Analysis for State-Space Reductions Preserving Temporal Logics
Formal Methods in System Design
A General Compiler Framework for Speculative Multithreaded Processors
IEEE Transactions on Parallel and Distributed Systems
Efficient Disk-Based K-Means Clustering for Relational Databases
IEEE Transactions on Knowledge and Data Engineering
Overview of the IBM Java just-in-time compiler
IBM Systems Journal
IBM Systems Journal
Optimizing array reference checking in Java programs
IBM Systems Journal
Enumerating the strings of regular languages
Journal of Functional Programming
Checking potential validity of XML documents
Proceedings of the 7th International Workshop on the Web and Databases: colocated with ACM SIGMOD/PODS 2004
Programming graphics processors functionally
Haskell '04 Proceedings of the 2004 ACM SIGPLAN workshop on Haskell
The CBP Parameter: A Module Characterization Approach for DSP Software Optimization
Journal of VLSI Signal Processing Systems
Binary translation to improve energy efficiency through post-pass register re-allocation
Proceedings of the 4th ACM international conference on Embedded software
Assembly instruction level reverse execution for debugging
ACM Transactions on Software Engineering and Methodology (TOSEM)
ACM Transactions on Software Engineering and Methodology (TOSEM)
Symbolic Execution of Program Paths Involving Pointer and Structure Variables
QSIC '04 Proceedings of the Quality Software, Fourth International Conference
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Implementation and Evaluation of an On-Demand Parameter-Passing Strategy for Reducing Energy
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Static program analysis of embedded executable assembly code
Proceedings of the 2004 international conference on Compilers, architecture, and synthesis for embedded systems
ACM Transactions on Mathematical Software (TOMS)
Deadline Analysis of Interrupt-Driven Software
IEEE Transactions on Software Engineering
Test Criteria for Context-Free Grammars
COMPSAC '04 Proceedings of the 28th Annual International Computer Software and Applications Conference - Volume 01
Input space adaptive design: a high-level methodology for optimizing energy and performance
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Coordinated parallelizing compiler optimizations and high-level synthesis
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Code compression by register operand dependency
Journal of Systems and Software
Notes on notes on postmodern programming: radio edit
OOPSLA '04 Companion to the 19th annual ACM SIGPLAN conference on Object-oriented programming systems, languages, and applications
Method-level phase behavior in java workloads
OOPSLA '04 Proceedings of the 19th annual ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
PSE: explaining program failures via postmortem static analysis
Proceedings of the 12th ACM SIGSOFT twelfth international symposium on Foundations of software engineering
Implementing protocols via declarative event patterns
Proceedings of the 12th ACM SIGSOFT twelfth international symposium on Foundations of software engineering
A combinatorial algorithm for minimizing the number of states of a deterministic finite automation
CSC '89 Proceedings of the 17th conference on ACM Annual Computer Science Conference
Creating structured PDF files using XML templates
Proceedings of the 2004 ACM symposium on Document engineering
Supervised learning for the legacy document conversion
Proceedings of the 2004 ACM symposium on Document engineering
Towards efficient implementation of XML schema content models
Proceedings of the 2004 ACM symposium on Document engineering
Grammar-based task analysis of web logs
Proceedings of the thirteenth ACM international conference on Information and knowledge management
Aspect-oriented design of sensor networks
Journal of Parallel and Distributed Computing
Automatic validation of code-improving transformations on low-level program representations
Science of Computer Programming - Special issue on program transformation
Web programming in Scheme with LAML
Journal of Functional Programming
Line Size Adaptivity Analysis of Parameterized Loop Nests for Direct Mapped Data Cache
IEEE Transactions on Computers
RIFLE: An Architectural Framework for User-Centric Information-Flow Security
Proceedings of the 37th annual IEEE/ACM International Symposium on Microarchitecture
Journal of Systems and Software
The construction of secure mobile agents via evaluating encrypted functions
Web Intelligence and Agent Systems
Introducing practical applications into a computational theory class
Journal of Computing Sciences in Colleges
A flexible tool for visualizing assembly code
Journal of Computing Sciences in Colleges
Flow analysis for verifying properties of concurrent software systems
ACM Transactions on Software Engineering and Methodology (TOSEM)
A framework for modeling and implementing visual notations with applications to software engineering
ACM Transactions on Software Engineering and Methodology (TOSEM)
Scalable error detection using boolean satisfiability
Proceedings of the 32nd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
A compression algorithm using integrated record information for translation dictionaries
Information Sciences—Informatics and Computer Science: An International Journal - Special issue: Informatics and computer science intelligent systems applications
Object-oriented processing of Java source code
Software—Practice & Experience
Parameterized object sensitivity for points-to analysis for Java
ACM Transactions on Software Engineering and Methodology (TOSEM)
Instruction set extension with shadow registers for configurable processors
Proceedings of the 2005 ACM/SIGDA 13th international symposium on Field-programmable gate arrays
Evaluating heuristics in automatically mapping multi-loop applications to FPGAs
Proceedings of the 2005 ACM/SIGDA 13th international symposium on Field-programmable gate arrays
Hide and show: using real compiler code for teaching
Proceedings of the 36th SIGCSE technical symposium on Computer science education
Proceedings of the 36th SIGCSE technical symposium on Computer science education
Compile-Time Concurrent Marking Write Barrier Removal
Proceedings of the international symposium on Code generation and optimization
Superword-Level Parallelism in the Presence of Control Flow
Proceedings of the international symposium on Code generation and optimization
Automatic Timing Model Generation by CFG Partitioning and Model Checking
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
A flexible table driven LR(1) parser
CompSysTech '04 Proceedings of the 5th international conference on Computer systems and technologies
Customisable Hardware Compilation
The Journal of Supercomputing
ACM SIGPLAN Notices
Notes on notes on postmodern programming
ACM SIGPLAN Notices
XML stream transformer generation through program composition and dependency analysis
Science of Computer Programming
An optimal pre-determinization algorithm for weighted transducers
Theoretical Computer Science - Implementation and application of automata
Memory safety without garbage collection for embedded applications
ACM Transactions on Embedded Computing Systems (TECS)
ACM SIGPLAN Notices
Web Application Slicing in Presence of Dynamic Code Generation
Automated Software Engineering
ACM SIGPLAN Fortran Forum
Optimizing general purpose compiler optimization
Proceedings of the 2nd conference on Computing frontiers
SLL: running my web services on your WS platforms
WWW '05 Special interest tracks and posters of the 14th international conference on World Wide Web
Approximate regular expression searching with arbitrary integer weights
Nordic Journal of Computing
Declarative techniques for model-driven business process integration
IBM Systems Journal
Syntax error repair for a Java-based parser generator
ACM SIGPLAN Notices
An efficient and generic reversible debugger using the virtual machine based approach
Proceedings of the 1st ACM/USENIX international conference on Virtual execution environments
Proceedings of the 2005 ACM SIGPLAN conference on Programming language design and implementation
Efficient and effective array bound checking
ACM Transactions on Programming Languages and Systems (TOPLAS)
Efficient application migration under compiler guidance
LCTES '05 Proceedings of the 2005 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Scalable precision cache analysis for preemptive scheduling
LCTES '05 Proceedings of the 2005 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Making compiler construction projects relevant to core curriculums
ITiCSE '05 Proceedings of the 10th annual SIGCSE conference on Innovation and technology in computer science education
Robustness Testing of Java Server Applications
IEEE Transactions on Software Engineering
Incremental and demand-driven points-to analysis using logic programming
PPDP '05 Proceedings of the 7th ACM SIGPLAN international conference on Principles and practice of declarative programming
Dominator tree verification and vertex-disjoint paths
SODA '05 Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms
Parsing with Probabilistic Strictly Locally Testable Tree Languages
IEEE Transactions on Pattern Analysis and Machine Intelligence
SRA: description of the SOLOMON system as used for MUC-5
MUC5 '93 Proceedings of the 5th conference on Message understanding
Compilation of unification grammars with compositional semantics to speech recognition packages
COLING '02 Proceedings of the 19th international conference on Computational linguistics - Volume 1
Theory and Practice of Logic Programming
Transformational Derivation of an Improved Alias Analysis Algorithm
Higher-Order and Symbolic Computation
Toward an engineering discipline for grammarware
ACM Transactions on Software Engineering and Methodology (TOSEM)
Finite automata and pattern avoidance in words
Journal of Combinatorial Theory Series A
Encyclopedia of Computer Science
Encyclopedia of Computer Science
Encyclopedia of Computer Science
Interprocedural parallelization analysis in SUIF
ACM Transactions on Programming Languages and Systems (TOPLAS)
Dataflow analysis for energy-efficient scratch-pad memory management
ISLPED '05 Proceedings of the 2005 international symposium on Low power electronics and design
Static Analysis Method for Detecting Buffer Overflow Vulnerabilities
Programming and Computing Software
Deriving protocol specifications from service specifications written in LOTOS
Distributed Computing
Proceedings of the 10th European software engineering conference held jointly with 13th ACM SIGSOFT international symposium on Foundations of software engineering
The STAMPede approach to thread-level speculation
ACM Transactions on Computer Systems (TOCS)
Efficient static analysis with path pruning using coverage data
WODA '05 Proceedings of the third international workshop on Dynamic analysis
Efficient evaluation of XQuery over streaming data
VLDB '05 Proceedings of the 31st international conference on Very large data bases
Program Slicing with Dynamic Points-To Sets
IEEE Transactions on Software Engineering
Future wireless convergence platforms
CODES+ISSS '05 Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Code coverage testing using hardware performance monitoring support
Proceedings of the sixth international symposium on Automated analysis-driven debugging
Modeling and predicting all-α transmembrane proteins including helix-helix pairing
Theoretical Computer Science - Pattern discovery in the post genome
Pinapa: an extraction tool for SystemC descriptions of systems-on-a-chip
Proceedings of the 5th ACM international conference on Embedded software
Equivalence checking of arithmetic expressions using fast evaluation
Proceedings of the 2005 international conference on Compilers, architectures and synthesis for embedded systems
Instruction code mapping for performance increase and energy reduction in embedded computer systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Link-time binary rewriting techniques for program compaction
ACM Transactions on Programming Languages and Systems (TOPLAS)
Generating new general compiler optimization settings
Proceedings of the 19th annual international conference on Supercomputing
A pattern for generating extended truth tables using Java
Journal of Computing Sciences in Colleges
Journal of Computing Sciences in Colleges
EUROMICRO '05 Proceedings of the 31st EUROMICRO Conference on Software Engineering and Advanced Applications
Automatic Selection of Compiler Options Using Non-parametric Inferential Statistics
Proceedings of the 14th International Conference on Parallel Architectures and Compilation Techniques
Developing a secure grid computing environment shell engine: containers and services
Neural, Parallel & Scientific Computations - Special issue: Grid computing
Javari: adding reference immutability to Java
OOPSLA '05 Proceedings of the 20th annual ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
Finding application errors and security flaws using PQL: a program query language
OOPSLA '05 Proceedings of the 20th annual ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
Efficient online computation of statement coverage
Journal of Systems and Software
ACM SIGMICRO Newsletter
Proceedings of the 12th ACM conference on Computer and communications security
Software—Practice & Experience
Economy of description for basic constructions on rational transductions
Journal of Automata, Languages and Combinatorics - Special issue: Selected papers of the fourth international workshop on descriptional complexity of formal systems
The maximum state complexity for finite languages
Journal of Automata, Languages and Combinatorics - Special issue: Selected papers of the fourth international workshop on descriptional complexity of formal systems
Sketch Grammars: A Formalism for Describing and Recognizing Diagrammatic Sketch Languages
ICDAR '05 Proceedings of the Eighth International Conference on Document Analysis and Recognition
An improved algorithm for loop dead optimization
ACM SIGPLAN Notices
Generation of Positive and Negative Tests for Parsers
Programming and Computing Software
A New Hybrid Fault Detection Technique for Systems-on-a-Chip
IEEE Transactions on Computers
Weakest-precondition of unstructured programs
PASTE '05 Proceedings of the 6th ACM SIGPLAN-SIGSOFT workshop on Program analysis for software tools and engineering
Termination analysis and specialization-point insertion in offline partial evaluation
ACM Transactions on Programming Languages and Systems (TOPLAS)
Using Dominators to Extract Observable Protocol Contexts
SEFM '05 Proceedings of the Third IEEE International Conference on Software Engineering and Formal Methods
SEFM '05 Proceedings of the Third IEEE International Conference on Software Engineering and Formal Methods
Chinese Word Segmentation and Named Entity Recognition: A Pragmatic Approach
Computational Linguistics
The essence of command injection attacks in web applications
Conference record of the 33rd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
A differentiation-enabled Fortran 95 compiler
ACM Transactions on Mathematical Software (TOMS)
Weighted pushdown systems and their application to interprocedural dataflow analysis
Science of Computer Programming - Special issue: Static analysis symposium (SAS 2003)
Bridging the gap between technical and social dependencies with Ariadne
eclipse '05 Proceedings of the 2005 OOPSLA workshop on Eclipse technology eXchange
REXTOR: a system for generating relations from natural language
RANLPIR '00 Proceedings of the ACL-2000 workshop on Recent advances in natural language processing and information retrieval: held in conjunction with the 38th Annual Meeting of the Association for Computational Linguistics - Volume 11
Robust, applied morphological generation
INLG '00 Proceedings of the first international conference on Natural language generation - Volume 14
A framework for incremental extensible compiler construction
International Journal of Parallel Programming - Special issue II: The 17th annual international conference on supercomputing (ICS'03)
Data dependence analysis techniques for increased accuracy and extracted parallelism
International Journal of Parallel Programming - Special issue II: The 17th annual international conference on supercomputing (ICS'03)
A join point for loops in AspectJ
Proceedings of the 5th international conference on Aspect-oriented software development
Transaction-based waveform analysis for IP selection
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
Chirp on crickets: teaching compilers using an embedded robot controller
Proceedings of the 37th SIGCSE technical symposium on Computer science education
How to Design a Connectionist Holistic Parser
Neural Computation
Aristotle: a system for development of program analysis based tools
ACM-SE 33 Proceedings of the 33rd annual on Southeast regional conference
Compiler Optimizations to Reduce Security Overhead
Proceedings of the International Symposium on Code Generation and Optimization
Effective pattern matching of source code using abstract syntax patterns
Software—Practice & Experience
STLlint: lifting static checking from languages to libraries
Software—Practice & Experience
Word-length optimization for differentiable nonlinear systems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Compilers for leakage power reduction
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Improving the energy behavior of block buffering using compiler optimizations
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Intermediately executed code is the key to find refactorings that improve temporal data locality
Proceedings of the 3rd conference on Computing frontiers
CP-Miner: Finding Copy-Paste and Related Bugs in Large-Scale Software Code
IEEE Transactions on Software Engineering
Software integrity protection using timed executable agents
ASIACCS '06 Proceedings of the 2006 ACM Symposium on Information, computer and communications security
Architecture and compilation for data bandwidth improvement in configurable embedded processors
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Precise alias analysis for static detection of web application vulnerabilities
Proceedings of the 2006 workshop on Programming languages and analysis for security
Modeling Instruction Semantics in ADL Processor Descriptions for C Compiler Retargeting
Journal of VLSI Signal Processing Systems
Automated WCET analysis based on program modes
Proceedings of the 2006 international workshop on Automation of software test
Using postdomination to reduce space requirements of data flow analysis
Information Processing Letters
A web-based service for the automatic detection of roles of variables
Proceedings of the 11th annual SIGCSE conference on Innovation and technology in computer science education
State space reduction for process algebra specifications
Theoretical Computer Science - Algebraic methodology and software technology
Flexible diagnosis of discrete-event systems by similarity-based reasoning techniques
Artificial Intelligence
Separation of concerns in compiler development using aspect-orientation
Proceedings of the 2006 ACM symposium on Applied computing
Java bytecode specification and verification
Proceedings of the 2006 ACM symposium on Applied computing
Towards regression test selection for AspectJ programs
Proceedings of the 2nd workshop on Testing aspect-oriented programs
ACM Transactions on Programming Languages and Systems (TOPLAS)
Proceedings of the 43rd annual Design Automation Conference
ACM SIGPLAN Notices
"To be recorded" analysis in reverse-mode automatic differentiation
Future Generation Computer Systems
Evaluating GLR parsing algorithms
Science of Computer Programming - The fourth workshop on language descriptions, tools, and applications (LDTA'04)
An improved algorithm for loop dead optimization
ACM SIGPLAN Notices
An efficient interprocedural dynamic slicing method
Journal of Systems and Software
High assurance system software
SCS '05 Proceedings of the 10th Australian workshop on Safety critical systems and software - Volume 55
A two-phase escape analysis for parallel java programs
Proceedings of the 15th international conference on Parallel architectures and compilation techniques
Journal of Electronic Testing: Theory and Applications
Secure evolvable hardware for public-key cryptosystems
New Generation Computing - Evolutionary computation
Visual language semantics specification in the VisPro system
VIP '02 Selected papers from the 2002 Pan-Sydney workshop on Visualisation - Volume 22
Parallelizing load/stores on dual-bank memory embedded processors
ACM Transactions on Embedded Computing Systems (TECS)
Proceedings of the 21st annual ACM SIGPLAN conference on Object-oriented programming systems, languages, and applications
Tatoo: an innovative parser generator
PPPJ '06 Proceedings of the 4th international symposium on Principles and practice of programming in Java
Staging static analyses for program generation
Proceedings of the 5th international conference on Generative programming and component engineering
Assimilating MetaBorg:: embedding language tools in languages
Proceedings of the 5th international conference on Generative programming and component engineering
CASES '06 Proceedings of the 2006 international conference on Compilers, architecture and synthesis for embedded systems
CASES '06 Proceedings of the 2006 international conference on Compilers, architecture and synthesis for embedded systems
Adaptive object code compression
CASES '06 Proceedings of the 2006 international conference on Compilers, architecture and synthesis for embedded systems
EDUCATIONAL PEARL: ‘Proof-directed debugging’ revisited for a first-order version
Journal of Functional Programming
Attribute grammars and the teaching of compiler design and implementation
Journal of Computing Sciences in Colleges
Complete inlining of recursive calls: beyond tail-recursion elimination
Proceedings of the 44th annual Southeast regional conference
Box English - preparing for CS1
Proceedings of the 44th annual Southeast regional conference
TDX: a high-performance table-driven XML parser
Proceedings of the 44th annual Southeast regional conference
An improved IDL compiler for optimizing CORBA applications
Proceedings of the 2006 annual ACM SIGAda international conference on Ada
Interprocedural slicing of multithreaded programs with applications to Java
ACM Transactions on Programming Languages and Systems (TOPLAS)
On minimizing materializations of array-valued temporaries
ACM Transactions on Programming Languages and Systems (TOPLAS)
Deriving escape analysis by abstract interpretation
Higher-Order and Symbolic Computation
Sequoia: programming the memory hierarchy
Proceedings of the 2006 ACM/IEEE conference on Supercomputing
Sequoia: programming the memory hierarchy
Proceedings of the 2006 ACM/IEEE conference on Supercomputing
Variance analyses from invariance analyses
Proceedings of the 34th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Offset assignment using simultaneous variable coalescing
ACM Transactions on Embedded Computing Systems (TECS)
Power-efficient prefetching for embedded processors
ACM Transactions on Embedded Computing Systems (TECS)
Average case analysis of DJ graphs
Journal of Discrete Algorithms
COLING '04 Proceedings of the 20th international conference on Computational Linguistics
Distributed dynamic slicing of Java programs
Journal of Systems and Software
Information Systems
Logical structure analysis: From HTML to XML
Computer Standards & Interfaces
Describing data flow analysis techniques with Kleene algebra
Science of Computer Programming
Architecture and compiler optimizations for data bandwidth improvement in configurable processors
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A Method for Automatic Program Inversion Based on LR(0) Parsing
Fundamenta Informaticae - Program Transformation: Theoretical Foundations and Basic Techniques. Part 1
Program Transformation with Scoped Dynamic Rewrite Rules
Fundamenta Informaticae - Program Transformation: Theoretical Foundations and Basic Techniques. Part 2
Proceedings of the 38th SIGCSE technical symposium on Computer science education
Programming with exceptions in JCilk
Science of Computer Programming - Special issue: Synchronization and concurrency in object-oriented languages
Marking musical dictations using the edit distance algorithm
Software—Practice & Experience
Journal of Computing Sciences in Colleges
Visual language implementation through standard compiler-compiler techniques
Journal of Visual Languages and Computing
Disjunctive image computation for software verification
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Removing redundant arguments automatically
Theory and Practice of Logic Programming
A diagnostic environment for automaton networks
Software—Practice & Experience
Saturn: A scalable framework for error detection using Boolean satisfiability
ACM Transactions on Programming Languages and Systems (TOPLAS) - Special issue on POPL 2005
Automating the development of quantum computational software
ACM-SE 45 Proceedings of the 45th annual southeast regional conference
Journal of Embedded Computing - Real-Time and Embedded Computing Systems
Selective code transformation for dual instruction set processors
ACM Transactions on Embedded Computing Systems (TECS) - SPECIAL ISSUE SCOPES 2005
A translator system for the MATLAB language: Research Articles
Software—Practice & Experience
Incorporating Intel® MMX$^{\rm TM}$ technology into a Java$^{\rm TM}$ JIT compiler$^{1}$
Scientific Programming
Menhir: An environment for high performance Matlab
Scientific Programming
Interprocedural definition-use chains of dynamic pointer-linked data structures
Scientific Programming
BSML: A binding schema markup language for data interchange in problem solving environments
Scientific Programming
Efficient implementation of Aho–Corasick pattern matching automata using Unicode
Software—Practice & Experience
Precise static type analysis for object oriented programs
ACM SIGPLAN Notices
Parallel algorithms for separable permutations
Discrete Applied Mathematics
One-unambiguity of regular expressions with numeric occurrence indicators
Information and Computation
Proceedings of the 2007 ACM symposium on Applied computing
ICSE '07 Proceedings of the 29th international conference on Software Engineering
When Role Models Have Flaws: Static Validation of Enterprise Security Policies
ICSE '07 Proceedings of the 29th international conference on Software Engineering
Kato: A Program Slicing Tool for Declarative Specifications
ICSE '07 Proceedings of the 29th international conference on Software Engineering
Proceedings of the 21st International Workshop on Principles of Advanced and Distributed Simulation
Practical escape analyses: how good are they?
Proceedings of the 3rd international conference on Virtual execution environments
An Analytical Approach to Scheduling Code for Superscalar and VLIW Architectures
ICPP '94 Proceedings of the 1994 International Conference on Parallel Processing - Volume 01
Partitioning of Variables for Multiple-Register-File VLIW Architectures
ICPP '94 Proceedings of the 1994 International Conference on Parallel Processing - Volume 01
Code Normalization for Self-Mutating Malware
IEEE Security and Privacy
Attribute grammars for scalable query processing on XML streams
The VLDB Journal — The International Journal on Very Large Data Bases
Typed common intermediate format
DSL'97 Proceedings of the Conference on Domain-Specific Languages on Conference on Domain-Specific Languages (DSL), 1997
Code composition as an implementation language for compilers
DSL'97 Proceedings of the Conference on Domain-Specific Languages on Conference on Domain-Specific Languages (DSL), 1997
Krakatoa: decompilation in java (dose bytecode reveal source?)
COOTS'97 Proceedings of the 3rd conference on USENIX Conference on Object-Oriented Technologies (COOTS) - Volume 3
A Smart Fuzzer for x86 Executables
SESS '07 Proceedings of the Third International Workshop on Software Engineering for Secure Systems
Concierge: a service platform for resource-constrained devices
Proceedings of the 2nd ACM SIGOPS/EuroSys European Conference on Computer Systems 2007
A pipeline framework for dependency parsing
COLING-ACL '06 Proceedings of the COLING/ACL on Main conference poster sessions
Interface grammars for modular software model checking
Proceedings of the 2007 international symposium on Software testing and analysis
Generalized structured programs and loop trees
Science of Computer Programming
Virtual machines as an aid in teaching computer concepts
WCAE '00 Proceedings of the 2000 workshop on Computer architecture education
Register-Transfer Level Transformations for Low-Power Data-Paths
Integrated Computer-Aided Engineering
Power Estimation Under User-Specified Input Sequences and Programs
Integrated Computer-Aided Engineering
Partitioning of Hardware-Software Embedded Systems: A Metrics-based Approach
Integrated Computer-Aided Engineering
A compact static double-array keeping character codes
Information Processing and Management: an International Journal
Static checking of dynamically generated queries in database applications
ACM Transactions on Software Engineering and Methodology (TOSEM)
A practical dynamic single assignment transformation
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Experiments in Cost Analysis of Java Bytecode
Electronic Notes in Theoretical Computer Science (ENTCS)
Instruction-level security analysis for information flow in stack-based assembly languages
Information and Computation
Design and DSP implementation of fixed-point systems
EURASIP Journal on Applied Signal Processing
A transformation framework for building personalized user interfaces for browsing XML content
SADPI '07 Proceedings of the 2007 international workshop on Semantically aware document processing and indexing
The Effects of XML Compression on SOAP Performance
World Wide Web
Three Paradigms of Computer Science
Minds and Machines
An improved bound for call strings based interprocedural analysis of bit vector frameworks
ACM Transactions on Programming Languages and Systems (TOPLAS)
Some observations on LR-like parsing with delayed reduction
Information Processing Letters
Automatic recursion engineering of reduction incorporated parsers
Science of Computer Programming
Context-aware scanning for parsing extensible languages
GPCE '07 Proceedings of the 6th international conference on Generative programming and component engineering
Heap reference analysis using access graphs
ACM Transactions on Programming Languages and Systems (TOPLAS)
Bouncer: securing software by blocking bad input
Proceedings of twenty-first ACM SIGOPS symposium on Operating systems principles
The typographic markup language (TML)
IMSA'07 IASTED European Conference on Proceedings of the IASTED European Conference: internet and multimedia systems and applications
Performance of concurrent servers generated automatically from sequential servers
Sedms'93 USENIX Systems on USENIX Experiences with Distributed and Multiprocessor Systems - Volume 4
Securing software by enforcing data-flow integrity
OSDI '06 Proceedings of the 7th symposium on Operating systems design and implementation
Multi-module vulnerability analysis of web-based applications
Proceedings of the 14th ACM conference on Computer and communications security
Towards a demonstrably-correct ada compiler
Proceedings of the 2007 ACM international conference on SIGAda annual international conference
Scout: a data-parallel programming language for graphics processors
Parallel Computing
Proofs and pedagogy; science and systems: The grammar tool box
Science of Computer Programming
Computation of storage requirements for multi-dimensional signal processing applications
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Extending XQuery with window functions
VLDB '07 Proceedings of the 33rd international conference on Very large data bases
Proceedings of the 35th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Exceptional situations and program reliability
ACM Transactions on Programming Languages and Systems (TOPLAS)
Filmification of methods: A visual language for graph algorithms
Journal of Visual Languages and Computing
Reconfigurable content-based router using hardware-accelerated language parser
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Quantifying ILP by means of graph theory
Proceedings of the 2nd international conference on Performance evaluation methodologies and tools
Data-flow based vulnerability analysis and java bytecode
ACS'07 Proceedings of the 7th Conference on 7th WSEAS International Conference on Applied Computer Science - Volume 7
From ubgs to cfgs a practical corpus-driven approach
Natural Language Engineering
The distribution of patterns in random trees
Combinatorics, Probability and Computing
Information Processing Letters
A new code generation algorithm for 2-offset producer order queue computation model
Computer Languages, Systems and Structures
Language engineering in the context of a popular, inexpensive robot platform
Proceedings of the 39th SIGCSE technical symposium on Computer science education
A modular approach to language engineering using XML and inexpensive robots
Journal of Computing Sciences in Colleges
AspectML: A polymorphic aspect-oriented functional programming language
ACM Transactions on Programming Languages and Systems (TOPLAS)
Hardware and Software Transparency in the Protection of Programs Against SEUs and SETs
Journal of Electronic Testing: Theory and Applications
Near-optimal instruction selection on dags
Proceedings of the 6th annual IEEE/ACM international symposium on Code generation and optimization
Compiling business processes: untangling unstructured loops in irreducible flow graphs
International Journal of Web and Grid Services
Improving flow-insensitive solutions for non-separable dataflow problems
Proceedings of the 2008 ACM symposium on Applied computing
IELR(1): practical LR(1) parser tables for non-LR(1) grammars with conflict resolution
Proceedings of the 2008 ACM symposium on Applied computing
Removing useless variables in cost analysis of Java bytecode
Proceedings of the 2008 ACM symposium on Applied computing
FAST'08 Proceedings of the 6th USENIX Conference on File and Storage Technologies
Incremental processing of temporal observations in Model-Based Reasoning
AI Communications - Model-Based Systems
Impact analysis of database schema changes
Proceedings of the 30th international conference on Software engineering
Optimization on array bound check and redundancy elimination
ISTASC'05 Proceedings of the 5th WSEAS/IASME International Conference on Systems Theory and Scientific Computation
Rotating register allocation with multiple rotating branches
Proceedings of the 22nd annual international conference on Supercomputing
Automatic SIMD vectorization of chains of recurrences
Proceedings of the 22nd annual international conference on Supercomputing
Dominator-tree analysis for distributed authorization
Proceedings of the third ACM SIGPLAN workshop on Programming languages and analysis for security
OpenAD/F: A Modular Open-Source Tool for Automatic Differentiation of Fortran Codes
ACM Transactions on Mathematical Software (TOMS)
Fundamenta Informaticae
Faster path indexes for search in XML data
ADC '08 Proceedings of the nineteenth conference on Australasian database - Volume 75
General Refinement, Part One: Interfaces, Determinism and Special Refinement
Electronic Notes in Theoretical Computer Science (ENTCS)
Proceedings of the 10th annual conference companion on Genetic and evolutionary computation
Macros for context-free grammars
Proceedings of the 10th international ACM SIGPLAN conference on Principles and practice of declarative programming
Client and server verification for web services using interface grammars
TAV-WEB '08 Proceedings of the 2008 workshop on Testing, analysis, and verification of web services and applications
MAPS: an integrated framework for MPSoC application parallelization
Proceedings of the 45th annual Design Automation Conference
Unit-level test adequacy criteria for visual dataflow languages and a testing methodology
ACM Transactions on Software Engineering and Methodology (TOSEM)
A-Brain: a general system for solving data analysis problems
Journal of Experimental & Theoretical Artificial Intelligence
Computation with classical sequents
Mathematical Structures in Computer Science
A Framework-Based Environment for Object-Oriented Scientific Codes
Scientific Programming - The First Annual Object-Oriented Numerics Conference (OON-SKI '93)
Instruction Scheduling Across Control Flow
Scientific Programming
Approximating the buffer allocation problem using epochs
Journal of Parallel and Distributed Computing
Fast, frequency-based, integrated register allocation and instruction scheduling
Software—Practice & Experience
Decoupling dynamic program analysis from execution in virtual environments
ATC'08 USENIX 2008 Annual Technical Conference on Annual Technical Conference
Testing criteria for object oriented visual dataflow languages
Journal of Computational Methods in Sciences and Engineering - Selected papers from the International Conference on Computer Science, Software Engineering, Information Technology, e-Business, and Applications, 2004
Advanced method for cryptographic protocol verification
Journal of Computational Methods in Sciences and Engineering - Selected papers from the International Conference on Computer Science, Software Engineering, Information Technology, e-Business, and Applications, 2004
Iterative identification of fault-prone binaries using in-process metrics
Proceedings of the Second ACM-IEEE international symposium on Empirical software engineering and measurement
ANEMONA: a programming language for network monitoring applications
International Journal of Network Management
Automatically repairing event sequence-based GUI test suites for regression testing
ACM Transactions on Software Engineering and Methodology (TOSEM)
Formal Translation Directed by Parallel LLP Parsing
SOFSEM '07 Proceedings of the 33rd conference on Current Trends in Theory and Practice of Computer Science
Highly Space Efficient Counters for Perl Compatible Regular Expressions in FPGAs
ARC '08 Proceedings of the 4th international workshop on Reconfigurable Computing: Architectures, Tools and Applications
A Preemption Algorithm for a Multitasking Environment on Dynamically Reconfigurable Processor
ARC '08 Proceedings of the 4th international workshop on Reconfigurable Computing: Architectures, Tools and Applications
Using Error Recovery Techniques to Improve Sketch Recognition Accuracy
Graphics Recognition. Recent Advances and New Opportunities
Pointer Analysis, Conditional Soundness, and Proving the Absence of Errors
SAS '08 Proceedings of the 15th international symposium on Static Analysis
Towards Roundtrip Engineering - A Template-Based Reverse Engineering Approach
ECMDA-FA '08 Proceedings of the 4th European conference on Model Driven Architecture: Foundations and Applications
Impact of Software Bypassing on Instruction Level Parallelism and Register File Traffic
SAMOS '08 Proceedings of the 8th international workshop on Embedded Computer Systems: Architectures, Modeling, and Simulation
Graphic Rendering Considered as a Compilation Chain
Interactive Systems. Design, Specification, and Verification
A Prolog Based System That Assists Experts to Construct and Simulate Fuzzy Cognitive Maps
SETN '08 Proceedings of the 5th Hellenic conference on Artificial Intelligence: Theories, Models and Applications
On Validity of Program Transformations in the Java Memory Model
ECOOP '08 Proceedings of the 22nd European conference on Object-Oriented Programming
A Framework for Proving Correctness of Adjoint Message-Passing Programs
Proceedings of the 15th European PVM/MPI Users' Group Meeting on Recent Advances in Parallel Virtual Machine and Message Passing Interface
Flow-Sensitive Loop-Variant Variable Classification in Linear Time
Languages and Compilers for Parallel Computing
A Pattern Language Verifier for Web-Based Enterprise Applications
MoDELS '08 Proceedings of the 11th international conference on Model Driven Engineering Languages and Systems
Comprehensive isomorphic subtree enumeration
CASES '08 Proceedings of the 2008 international conference on Compilers, architectures and synthesis for embedded systems
An improved DFA for fast regular expression matching
ACM SIGCOMM Computer Communication Review
Address Generation Optimization for Embedded High-Performance Processors: A Survey
Journal of Signal Processing Systems
gBFlavor: a new tool for fast and automatic generation of generic bitstream syntax descriptions
Multimedia Tools and Applications
Profile-guided program simplification for effective testing and analysis
Proceedings of the 16th ACM SIGSOFT International Symposium on Foundations of software engineering
Deriving input syntactic structure from execution
Proceedings of the 16th ACM SIGSOFT International Symposium on Foundations of software engineering
Inter-context control-flow and data-flow test adequacy criteria for nesC applications
Proceedings of the 16th ACM SIGSOFT International Symposium on Foundations of software engineering
Proceedings of the 26th annual ACM international conference on Design of communication
Cross-layer customization for rapid and low-cost task preemption in multitasked embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
Automatic Extraction of Process Control Flow from I/O Operations
BPM '08 Proceedings of the 6th International Conference on Business Process Management
Feature-preserved sampling over streaming data
ACM Transactions on Knowledge Discovery from Data (TKDD)
Efficient signature matching with multiple alphabet compression tables
Proceedings of the 4th international conference on Security and privacy in communication netowrks
Information filtering and query indexing for an information retrieval model
ACM Transactions on Information Systems (TOIS)
WebDSL: A Case Study in Domain-Specific Language Engineering
Generative and Transformational Techniques in Software Engineering II
More on graph theoretic software watermarks: Implementation, analysis, and attacks
Information and Software Technology
On the implementation of automatic differentiation tools
Higher-Order and Symbolic Computation
ACM SIGCSE Bulletin
Computation Tree Regular Logic for Genetic Regulatory Networks
ATVA '08 Proceedings of the 6th International Symposium on Automated Technology for Verification and Analysis
Algorithms for deterministic incremental dependency parsing
Computational Linguistics
Graph Rewriting for Hardware Dependent Program Optimizations
Applications of Graph Transformations with Industrial Relevance
Processor Description Languages
Processor Description Languages
Aspects of dictionary making developing an in-house text parsing tool
WSEAS Transactions on Computers
Abstracting access patterns of dynamic memory using regular expressions
ACM Transactions on Architecture and Code Optimization (TACO)
Automatic Symmetry Detection for Promela
Journal of Automated Reasoning
Designing a text parsing programme for a specialized bilingual online dictionary
AIC'08 Proceedings of the 8th conference on Applied informatics and communications
Finding and Applying Loop Transformations for Generating Optimized FPGA Implementations
Transactions on High-Performance Embedded Architectures and Compilers I
An Introduction to Grammar Convergence
IFM '09 Proceedings of the 7th International Conference on Integrated Formal Methods
Automatic Generation of Error Messages for the Symbolic Execution of EB3 Process Expressions
IFM '09 Proceedings of the 7th International Conference on Integrated Formal Methods
Model-based test cases synthesis using UML interaction diagrams
ACM SIGSOFT Software Engineering Notes
Sectional domain specific languages
Proceedings of the 4th workshop on Domain-specific aspect languages
Design and implementation of a queue compiler
Microprocessors & Microsystems
Methods as Parameters: A Preprocessing Approach to Higher Order in Java
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Some Aspects of Parsing Expression Grammar
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Paradigms of Denotational Mathematics for Cognitive Informatics and Cognitive Computing
Fundamenta Informaticae - Cognitive Informatics, Cognitive Computing, and Their Denotational Mathematical Foundations (I)
Undergraduate education in the computer system of software school, Fudan University
SCE '08 Proceedings of the 1st ACM Summit on Computing Education in China on First ACM Summit on Computing Education in China
A transformational language for mutant description
Computer Languages, Systems and Structures
Regular-expression derivatives re-examined
Journal of Functional Programming
Validation of GCC optimizers through trace generation
Software—Practice & Experience
Current research issues and trends in non-English Web searching
Information Retrieval
From Pāninian Sandhi to Finite State Calculus
Sanskrit Computational Linguistics
Test Data Generation of Bytecode by CLP Partial Evaluation
Logic-Based Program Synthesis and Transformation
Boolean circuit programming: A new paradigm to design parallel algorithms
Journal of Discrete Algorithms
An intelligent semi-automatic application porting system for application accelerators
Proceedings of the combined workshops on UnConventional high performance computing workshop plus memory access workshop
Software Language Engineering
MEDS: The Memory Error Detection System
ESSoS '09 Proceedings of the 1st International Symposium on Engineering Secure Software and Systems
Faster Scannerless GLR Parsing
CC '09 Proceedings of the 18th International Conference on Compiler Construction: Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009
Integrating hardware and software information flow analyses
Proceedings of the 2009 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
CEAL: a C-based language for self-adjusting computation
Proceedings of the 2009 ACM SIGPLAN conference on Programming language design and implementation
Verifiable composition of deterministic grammars
Proceedings of the 2009 ACM SIGPLAN conference on Programming language design and implementation
Dynamic warp formation: Efficient MIMD control flow on SIMD graphics hardware
ACM Transactions on Architecture and Code Optimization (TACO)
A grammar-based entity representation framework for data cleaning
Proceedings of the 2009 ACM SIGMOD International Conference on Management of data
Reconfigurable Computing: The Theory and Practice of FPGA-Based Computation
Reconfigurable Computing: The Theory and Practice of FPGA-Based Computation
COMPASS: A Community-driven Parallelization Advisor for Sequential Software
IWMSE '09 Proceedings of the 2009 ICSE Workshop on Multicore Software Engineering
Automated software license analysis
Automated Software Engineering
Decompilation of Java bytecode to Prolog by partial evaluation
Information and Software Technology
Fast and precise points-to analysis
Information and Software Technology
May/must analysis and the DFAGen data-flow analysis generator
Information and Software Technology
A Language-Theoretic View on Guidelines and Consistency Rules of UML
ECMDA-FA '09 Proceedings of the 5th European Conference on Model Driven Architecture - Foundations and Applications
Derivation and Refinement of Textual Syntax for Models
ECMDA-FA '09 Proceedings of the 5th European Conference on Model Driven Architecture - Foundations and Applications
Space Optimization on Counters for FPGA-Based Perl Compatible Regular Expressions
ACM Transactions on Reconfigurable Technology and Systems (TRETS)
From Tessellations to Table Interpretation
Calculemus '09/MKM '09 Proceedings of the 16th Symposium, 8th International Conference. Held as Part of CICM '09 on Intelligent Computer Mathematics
Faster Regular Expression Matching
ICALP '09 Proceedings of the 36th International Colloquium on Automata, Languages and Programming: Part I
A Table Compression Method for Extended Aho-Corasick Automaton
CIAA '09 Proceedings of the 14th International Conference on Implementation and Application of Automata
Reducers and other Cilk++ hyperobjects
Proceedings of the twenty-first annual symposium on Parallelism in algorithms and architectures
A pipeline model for bottom-up dependency parsing
CoNLL-X '06 Proceedings of the Tenth Conference on Computational Natural Language Learning
Software architecture built from behavior models
ACM SIGSOFT Software Engineering Notes
NeMLaP3/CoNLL '98 Proceedings of the Joint Conferences on New Methods in Language Processing and Computational Natural Language Learning
Control-flow integrity principles, implementations, and applications
ACM Transactions on Information and System Security (TISSEC)
Treatment of ε-moves in subset construction
FSMNLP '09 Proceedings of the International Workshop on Finite State Methods in Natural Language Processing
Analyzing Real-Time Event-Driven Programs
FORMATS '09 Proceedings of the 7th International Conference on Formal Modeling and Analysis of Timed Systems
Inferring Dataflow Properties of User Defined Table Processors
SAS '09 Proceedings of the 16th International Symposium on Static Analysis
Empirical Software Engineering
The dependence condition graph: Precise conditions for dependence between program points
Computer Languages, Systems and Structures
Tool Support for Holistic Modelling of Distributed Embedded Systems in Creol
Electronic Notes in Theoretical Computer Science (ENTCS)
Modular and efficient top-down parsing for ambiguous left-recursive grammars
IWPT '07 Proceedings of the 10th International Conference on Parsing Technologies
A latent variable model for generative dependency parsing
IWPT '07 Proceedings of the 10th International Conference on Parsing Technologies
Abstract parsing for two-staged languages with concatenation
GPCE '09 Proceedings of the eighth international conference on Generative programming and component engineering
Call-graph caching: transforming programs into networks
IJCAI'89 Proceedings of the 11th international joint conference on Artificial intelligence - Volume 1
Strategies for learning search control rules: an explanation-based approach
IJCAI'87 Proceedings of the 10th international joint conference on Artificial intelligence - Volume 1
Natural language processing implementation on Romanian Chatbot
SMO'09 Proceedings of the 9th WSEAS international conference on Simulation, modelling and optimization
Declarative Intraprocedural Flow Analysis of Java Source Code
Electronic Notes in Theoretical Computer Science (ENTCS)
Future Generation Computer Systems
Efficient Monitoring of Parametric Context-Free Patterns
ASE '08 Proceedings of the 2008 23rd IEEE/ACM International Conference on Automated Software Engineering
An efficient algorithm for surface generation
IJCAI'95 Proceedings of the 14th international joint conference on Artificial intelligence - Volume 2
ACM Transactions on Mathematical Software (TOMS)
Improved approximate string matching and regular expression matching on Ziv-Lempel compressed texts
ACM Transactions on Algorithms (TALG)
Flexible diagnosis of discrete-event systems by similarity-based reasoning techniques
Artificial Intelligence
Feature extraction from large CAD databases using genetic algorithm
Computer-Aided Design
Formal modeling and verification of shop floor controller logics
AsiaMS '07 Proceedings of the IASTED Asian Conference on Modelling and Simulation
Identifying static analysis techniques for finding non-fix hunks in fix revisions
Proceedings of the ACM first international workshop on Data-intensive software management and mining
FACT: fast communication trace collection for parallel applications through program slicing
Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis
A hybrid analysis framework for detecting web application vulnerabilities
IWSESS '09 Proceedings of the 2009 ICSE Workshop on Software Engineering for Secure Systems
Improving type-safety in CORBA
Middleware '98 Proceedings of the IFIP International Conference on Distributed Systems Platforms and Open Distributed Processing
Recognition is not parsing - SPPF-style parsing from cubic recognisers
Science of Computer Programming
Using CLP Simplifications to Improve Java Bytecode Termination Analysis
Electronic Notes in Theoretical Computer Science (ENTCS)
FLAVERS: a finite state verification technique for software systems
IBM Systems Journal
Genetic programming on graphics processing units
Genetic Programming and Evolvable Machines
A program auto-parallelizer based on the component technology of optimizing compiler construction
Programming and Computing Software
Proceedings of the VLDB Endowment
Generating In-Line Monitors for Rabin Automata
NordSec '09 Proceedings of the 14th Nordic Conference on Secure IT Systems: Identity and Privacy in the Internet Age
Automatically Adapting a Trained Anomaly Detector to Software Patches
RAID '09 Proceedings of the 12th International Symposium on Recent Advances in Intrusion Detection
State Space Reduction of Linear Processes Using Control Flow Reconstruction
ATVA '09 Proceedings of the 7th International Symposium on Automated Technology for Verification and Analysis
A transformational approach to binary translation of delayed branches with applications to SPARC® and PA-RISC instructions sets
Compile-time concurrent marking write barrier removal
Compile-time concurrent marking write barrier removal
The typographic markup language (TML)
EurolMSA '07 Proceedings of the Third IASTED European Conference on Internet and Multimedia Systems and Applications
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Efficient off-board deployment and customization of virtual machine-based embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
Advanced designer-oriented system in VR environment
ICACT'09 Proceedings of the 11th international conference on Advanced Communication Technology - Volume 1
Parallel algorithms for separable permutations
Discrete Applied Mathematics
Recursion Engineering for Reduction Incorporated Parsers
Electronic Notes in Theoretical Computer Science (ENTCS)
Simulation of Simultaneous Events in Regular Expressions for Run-Time Verification
Electronic Notes in Theoretical Computer Science (ENTCS)
Electronic Notes in Theoretical Computer Science (ENTCS)
Towards Efficient, Typed LR Parsers
Electronic Notes in Theoretical Computer Science (ENTCS)
Parallel Assignments in Software Model Checking
Electronic Notes in Theoretical Computer Science (ENTCS)
Mumbo: A Rule-Based Implementation of a Run-time Program Generation Language
Electronic Notes in Theoretical Computer Science (ENTCS)
Electronic Notes in Theoretical Computer Science (ENTCS)
"To be recorded" analysis in reverse-mode automatic differentiation
Future Generation Computer Systems
A termination analyzer for Java bytecode based on path-length
ACM Transactions on Programming Languages and Systems (TOPLAS)
Using postdomination to reduce space requirements of data flow analysis
Information Processing Letters
The Fortran parallel transformer and its programming environment
Information Sciences: an International Journal
A practical approach to extracting DTD-conforming XML documents from heterogeneous data sources
Information Sciences: an International Journal
MEMOCODE'09 Proceedings of the 7th IEEE/ACM international conference on Formal Methods and Models for Codesign
Simulation based control program verification in an automobile industry
MIC '08 Proceedings of the 27th IASTED International Conference on Modelling, Identification and Control
BUGINNINGS: identifying the origins of a bug
Proceedings of the 3rd India software engineering conference
OSiL: An instance language for optimization
Computational Optimization and Applications
An optimization framework for embedded processors with auto-addressing mode
ACM Transactions on Programming Languages and Systems (TOPLAS)
Butterfly analysis: adapting dataflow analysis to dynamic parallel monitoring
Proceedings of the fifteenth edition of ASPLOS on Architectural support for programming languages and operating systems
Compiling for Reduced Bit-Width Queue Processors
Journal of Signal Processing Systems
Optimal interprocedural program optimization: a new framework and its application
Optimal interprocedural program optimization: a new framework and its application
Beyond soundness: on the verification of semantic business process models
Distributed and Parallel Databases
Efficient monitoring of parametric context-free patterns
Automated Software Engineering
Paper: A boltzmann machine approach to code optimization
Parallel Computing
Building syntax-aware editors for visual languages
Journal of Visual Languages and Computing
Proceedings of the SIGCHI Conference on Human Factors in Computing Systems
Interacting code motion transformations: their impact and their complexity
Interacting code motion transformations: their impact and their complexity
Execution synthesis: a technique for automated software debugging
Proceedings of the 5th European conference on Computer systems
Efficient automaton-based recognition for linear conjunctive languages
CIAA'02 Proceedings of the 7th international conference on Implementation and application of automata
On predictive parsing and extended context-free grammars
CIAA'02 Proceedings of the 7th international conference on Implementation and application of automata
The state problem for evolutionary testing
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
Stacking cycles: functional transformation of circular data
IFL'02 Proceedings of the 14th international conference on Implementation of functional languages
Copy propagation optimizations for VLIW DSP processors with distributed register files
LCPC'06 Proceedings of the 19th international conference on Languages and compilers for parallel computing
ICWE'03 Proceedings of the 2003 international conference on Web engineering
Preliminary design of BML: a behavioral interface specification language for java bytecode
FASE'07 Proceedings of the 10th international conference on Fundamental approaches to software engineering
An efficient pre-determinization algorithm
CIAA'03 Proceedings of the 8th international conference on Implementation and application of automata
Robust parsing using dynamic programming
CIAA'03 Proceedings of the 8th international conference on Implementation and application of automata
LR parsing for global index languages (GILs)
CIAA'03 Proceedings of the 8th international conference on Implementation and application of automata
Precise analysis of string expressions
SAS'03 Proceedings of the 10th international conference on Static analysis
Weighted pushdown systems and their application to interprocedural dataflow analysis
SAS'03 Proceedings of the 10th international conference on Static analysis
Coupling tangent-linear and adjoint models
ICCSA'03 Proceedings of the 2003 international conference on Computational science and its applications: PartII
Hierarchical automatic differentiation by vertex elimination and source transformation
ICCSA'03 Proceedings of the 2003 international conference on Computational science and its applications: PartII
An efficient optimization algorithm of java bytecode to reduce network traffic
ICCSA'03 Proceedings of the 2003 international conference on Computational science and its applications: PartII
Cost analysis of java bytecode
ESOP'07 Proceedings of the 16th European conference on Programming
Exploiting dynamicity for the definition and parsing of context sensitive grammars
CONTEXT'03 Proceedings of the 4th international and interdisciplinary conference on Modeling and using context
Causal dataflow analysis for concurrent programs
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
Abstraction refinement of linear programs with arrays
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
Applet verification strategies for RAM-constrained devices
ICISC'02 Proceedings of the 5th international conference on Information security and cryptology
Combined code motion and register allocation using the value state dependence graph
CC'03 Proceedings of the 12th international conference on Compiler construction
A new one-pass transformation into monadic normal form
CC'03 Proceedings of the 12th international conference on Compiler construction
Dimensions of precision in reference analysis of object-oriented programming languages
CC'03 Proceedings of the 12th international conference on Compiler construction
Effective inline-threaded interpretation of Java bytecode using preparation sequences
CC'03 Proceedings of the 12th international conference on Compiler construction
VECPAR'02 Proceedings of the 5th international conference on High performance computing for computational science
Advanced symbolic analysis for compilers: new techniques and algorithms for symbolic program analysis and optimization
On-the-fly dynamic dead variable analysis
Proceedings of the 14th international SPIN conference on Model checking software
Improving chip multiprocessor reliability through code replication
Computers and Electrical Engineering
Strategies for compiling µTC to novel chip Multiprocessors
SAMOS'07 Proceedings of the 7th international conference on Embedded computer systems: architectures, modeling, and simulation
Swaddler: an approach for the anomaly-based detection of state violations in web applications
RAID'07 Proceedings of the 10th international conference on Recent advances in intrusion detection
Composing transformations to optimize linear code
ICTAC'07 Proceedings of the 4th international conference on Theoretical aspects of computing
Development of prototype morphological analyzer for the South Indian language of Kannada
ICADL'07 Proceedings of the 10th international conference on Asian digital libraries: looking back 10 years and forging new frontiers
Contextual spelling correction
EUROCAST'07 Proceedings of the 11th international conference on Computer aided systems theory
On the relative completeness of bytecode analysis versus source code analysis
CC'08/ETAPS'08 Proceedings of the Joint European Conferences on Theory and Practice of Software 17th international conference on Compiler construction
SAIG'01 Proceedings of the 2nd international conference on Semantics, applications, and implementation of program generation
Dynamically adaptable software with metacomputations in a staged language
SAIG'01 Proceedings of the 2nd international conference on Semantics, applications, and implementation of program generation
Population parallel GP on the G80 GPU
EuroGP'08 Proceedings of the 11th European conference on Genetic programming
Automatic abstraction for verification of cyber-physical systems
Proceedings of the 1st ACM/IEEE International Conference on Cyber-Physical Systems
Time-based intrusion detection in cyber-physical systems
Proceedings of the 1st ACM/IEEE International Conference on Cyber-Physical Systems
Towards a source level compiler: source level modulo scheduling
Program analysis and compilation, theory and practice
On contemporary denotational mathematics for computational intelligence
Transactions on computational science II
On mathematical laws of software
Transactions on computational science II
Decoupled lifeguards: enabling path optimizations for dynamic correctness checking tools
PLDI '10 Proceedings of the 2010 ACM SIGPLAN conference on Programming language design and implementation
Precise calling context encoding
Proceedings of the 32nd ACM/IEEE International Conference on Software Engineering - Volume 1
Graphical microcontroller programming tool based on extended S-System Petri net
CEA'10 Proceedings of the 4th WSEAS international conference on Computer engineering and applications
Formalization and validation of a subset of the European Train Control System
Proceedings of the 32nd ACM/IEEE International Conference on Software Engineering - Volume 2
Generative software development
Proceedings of the 32nd ACM/IEEE International Conference on Software Engineering - Volume 2
A higher-order extension for imperative synchronous languages
Proceedings of the 13th International Workshop on Software & Compilers for Embedded Systems
Second-order differential encoding of deterministic finite automata
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
A unified categorical approach for attributed graph rewriting
CSR'08 Proceedings of the 3rd international conference on Computer science: theory and applications
Self-generating program specializers
Information Processing Letters
Compilation and virtualization in the HiPEAC vision
Proceedings of the 47th Design Automation Conference
Type inference and strong static type checking for Promela
Science of Computer Programming
Science of Computer Programming
Encoding watermark integers as self-inverting permutations
Proceedings of the 11th International Conference on Computer Systems and Technologies and Workshop for PhD Students in Computing on International Conference on Computer Systems and Technologies
Static analysis for detecting taint-style vulnerabilities in web applications
Journal of Computer Security
EVT/WOTE'09 Proceedings of the 2009 conference on Electronic voting technology/workshop on trustworthy elections
PROLEARN: towards a prolog interpreter that learns
AAAI'87 Proceedings of the sixth National conference on Artificial intelligence - Volume 2
Malware detection using assembly code and control flow graph optimization
Proceedings of the 1st Amrita ACM-W Celebration on Women in Computing in India
Electronic Notes in Theoretical Computer Science (ENTCS)
PROLEARN: towards a prolog interpreter that learns
AAAI'87 Proceedings of the sixth National conference on Artificial intelligence - Volume 2
IAAI'92 Proceedings of the fourth conference on Innovative applications of artificial intelligence
Pruning duplicate nodes in depth-first search
AAAI'93 Proceedings of the eleventh national conference on Artificial intelligence
Structured specification of model interpreters
ECBS'99 Proceedings of the 1999 IEEE conference on Engineering of computer-based systems
Proceedings of the Tenth Workshop on Language Descriptions, Tools and Applications
On the impact of DSL tools on the maintainability of language implementations
Proceedings of the Tenth Workshop on Language Descriptions, Tools and Applications
Proceedings of the ACM international conference on Object oriented programming systems languages and applications
Parallel inclusion-based points-to analysis
Proceedings of the ACM international conference on Object oriented programming systems languages and applications
Application of if-conversion to verification and optimization of workflows
Programming and Computing Software
Regular expression matching with multi-strings and intervals
SODA '10 Proceedings of the twenty-first annual ACM-SIAM symposium on Discrete Algorithms
Parametric timing analysis and its application to dynamic voltage scaling
ACM Transactions on Embedded Computing Systems (TECS)
Testing 2-vertex connectivity and computing pairs of vertex-disjoint s-t paths in digraphs
ICALP'10 Proceedings of the 37th international colloquium conference on Automata, languages and programming
The complexity of regular(-like) expressions
DLT'10 Proceedings of the 14th international conference on Developments in language theory
Compositional bitvector analysis for concurrent programs with nested locks
SAS'10 Proceedings of the 17th international conference on Static analysis
Directed test suite augmentation: techniques and tradeoffs
Proceedings of the eighteenth ACM SIGSOFT international symposium on Foundations of software engineering
Debugging model-transformation failures using dynamic tainting
ECOOP'10 Proceedings of the 24th European conference on Object-oriented programming
Towards the optimal minimization of a pronunciation dictionary model
TSD'10 Proceedings of the 13th international conference on Text, speech and dialogue
Estimating and exploiting potential parallelism by source-level dependence profiling
EuroPar'10 Proceedings of the 16th international Euro-Par conference on Parallel processing: Part I
RTSS'10 Proceedings of the 21st IEEE conference on Real-time systems symposium
DSL evolution through composition
Proceedings of the 7th Workshop on Reflection, AOP and Meta-Data for Software Evolution
A knowledge representation scheme for DFT
ITC'88 Proceedings of the 1988 international conference on Test: new frontiers in testing
Specification of network services and mapping algorithms
MILCOM'06 Proceedings of the 2006 IEEE conference on Military communications
Reconfigurable context-free grammar based data processing hardware with error recovery
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
On the impact of data input sets on statistical compiler tuning
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
A code motion technique for accelerating general-purpose computation on the GPU
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Source-level timing annotation for fast and accurate TLM computation model generation
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
Cujo: efficient detection and prevention of drive-by-download attacks
Proceedings of the 26th Annual Computer Security Applications Conference
The craft of computer programming: lifting the veil
Futureplay '10 Proceedings of the International Academic Conference on the Future of Game Design and Technology
Soot: a Java bytecode optimization framework
CASCON First Decade High Impact Papers
Probabilistic contracts for component-based design
ATVA'10 Proceedings of the 8th international conference on Automated technology for verification and analysis
Synthesis of test scenarios using UML activity diagrams
Software and Systems Modeling (SoSyM)
Programming the memory hierarchy revisited: supporting irregular parallelism in sequoia
Proceedings of the 16th ACM symposium on Principles and practice of parallel programming
Log-based architectures: using multicore to help software behave correctly
ACM SIGOPS Operating Systems Review
On compiler error messages: what they say and what they mean
Advances in Human-Computer Interaction
Incremental synthesis of application domain specific processors
ICASSP'93 Proceedings of the 1993 IEEE international conference on Acoustics, speech, and signal processing: plenary, special, audio, underwater acoustics, VLSI, neural networks - Volume I
EASY meta-programming with Rascal
GTTSE'09 Proceedings of the 3rd international summer school conference on Generative and transformational techniques in software engineering III
A type system for reflective program generators
Science of Computer Programming
Closure joinpoints: block joinpoints without surprises
Proceedings of the tenth international conference on Aspect-oriented software development
Expression equivalence checking using interval analysis
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Regular expressions at their best: a case for rational design
CIAA'10 Proceedings of the 15th international conference on Implementation and application of automata
McFLAT: a profile-based framework for MATLAB loop analysis and transformations
LCPC'10 Proceedings of the 23rd international conference on Languages and compilers for parallel computing
Efficient local unfolding with ancestor stacks*
Theory and Practice of Logic Programming
Theoretical Computer Science
A framework for parallel genetic algorithms on PC cluster
IMCAS'06 Proceedings of the 5th WSEAS international conference on Instrumentation, measurement, circuits and systems
Compilation of inheritance for object-oriented structures
MMACTEE'06 Proceedings of the 8th WSEAS international conference on Mathematical methods and computational techniques in electrical engineering
Context free languages: an application to recursive programs analysis
MMACTEE'06 Proceedings of the 8th WSEAS international conference on Mathematical methods and computational techniques in electrical engineering
Program optimization using abstract state machines
MMACTEE'06 Proceedings of the 8th WSEAS international conference on Mathematical methods and computational techniques in electrical engineering
A security-aware refactoring tool for Java programs
Proceedings of the 4th Workshop on Refactoring Tools
Reverse engineering using graph queries
Graph transformations and model-driven engineering
Flow-augmented call graph: a new foundation for taming API complexity
FASE'11/ETAPS'11 Proceedings of the 14th international conference on Fundamental approaches to software engineering: part of the joint European conferences on theory and practice of software
High performance and area efficient flexible DSP datapath synthesis
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Clairvoyant: a synthesis system for production-based specification
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
The tao of parallelism in algorithms
Proceedings of the 32nd ACM SIGPLAN conference on Programming language design and implementation
Software and Systems Modeling (SoSyM)
Minds and Machines
Runtime Verification for LTL and TLTL
ACM Transactions on Software Engineering and Methodology (TOSEM)
IWINAC'11 Proceedings of the 4th international conference on Interplay between natural and artificial computation - Volume Part I
Identification of extract method refactoring opportunities for the decomposition of methods
Journal of Systems and Software
Proceedings of the 8th ACM International Conference on Computing Frontiers
A comparison of rule inheritance in model-to-model transformation languages
ICMT'11 Proceedings of the 4th international conference on Theory and practice of model transformations
Generic model transformations: write once, reuse everywhere
ICMT'11 Proceedings of the 4th international conference on Theory and practice of model transformations
Malware analysis with tree automata inference
CAV'11 Proceedings of the 23rd international conference on Computer aided verification
Attribute grammar for XML integrity constraint validation
DEXA'11 Proceedings of the 22nd international conference on Database and expert systems applications - Volume Part I
The Journal of Supercomputing
Magic-sets for localised analysis of Java bytecode
Higher-Order and Symbolic Computation
Grammar semantics, analysis and parsing by abstract interpretation
Theoretical Computer Science
Improving performance through deep value profiling and specialization with code transformation
Computer Languages, Systems and Structures
Checking enforcement of integrity constraints in database applications based on code patterns
Journal of Systems and Software
Statically validating must summaries for incremental compositional dynamic test generation
SAS'11 Proceedings of the 18th international conference on Static analysis
IFL'08 Proceedings of the 20th international conference on Implementation and application of functional languages
Combining control-flow integrity and static analysis for efficient and validated data sandboxing
Proceedings of the 18th ACM conference on Computer and communications security
Deobfuscation of virtualization-obfuscated software: a semantics-based approach
Proceedings of the 18th ACM conference on Computer and communications security
A framework for analyzing programs written in proprietary languages
Proceedings of the ACM international conference companion on Object oriented programming systems languages and applications companion
Approximate regular expression matching with multi-strings
SPIRE'11 Proceedings of the 18th international conference on String processing and information retrieval
Efficient Testing of Recovery Code Using Fault Injection
ACM Transactions on Computer Systems (TOCS)
Model checking dataflow for malicious input
WESS '11 Proceedings of the Workshop on Embedded Systems Security
Cost analysis of object-oriented bytecode programs
Theoretical Computer Science
Information modeling for end to end composition of semantic web services
ISWC'05 Proceedings of the 4th international conference on The Semantic Web
Efficient computation of may-happen-in-parallel information for concurrent java programs
LCPC'05 Proceedings of the 18th international conference on Languages and Compilers for Parallel Computing
Model-Driven analysis and synthesis of concrete syntax
MoDELS'06 Proceedings of the 9th international conference on Model Driven Engineering Languages and Systems
SiTra: simple transformations in Java
MoDELS'06 Proceedings of the 9th international conference on Model Driven Engineering Languages and Systems
Model extraction using context information
MoDELS'06 Proceedings of the 9th international conference on Model Driven Engineering Languages and Systems
Orion: high-precision methods for static error analysis of c and c++ programs
FMCO'05 Proceedings of the 4th international conference on Formal Methods for Components and Objects
Beyond iteration vectors: instancewise relational abstract domains
SAS'06 Proceedings of the 13th international conference on Static Analysis
Static analysis for java servlets and JSP
SAS'06 Proceedings of the 13th international conference on Static Analysis
Parametric and termination-sensitive control dependence
SAS'06 Proceedings of the 13th international conference on Static Analysis
Language-Driven development of videogames: the experience
ICEC'06 Proceedings of the 5th international conference on Entertainment Computing
Monitoring of real-time properties
FSTTCS'06 Proceedings of the 26th international conference on Foundations of Software Technology and Theoretical Computer Science
Efficient incremental information flow control with nested control regions
Proceedings of the 1st ACM SIGPLAN international workshop on Programming language and systems technologies for internet clients
Type-driven automatic quotation of concrete object code in meta programs
RISE'05 Proceedings of the Second international conference on Rapid Integration of Software Engineering Techniques
Detecting self-mutating malware using control-flow graph matching
DIMVA'06 Proceedings of the Third international conference on Detection of Intrusions and Malware & Vulnerability Assessment
APLAS'05 Proceedings of the Third Asian conference on Programming Languages and Systems
Transformation to dynamic single assignment using a simple data flow analysis
APLAS'05 Proceedings of the Third Asian conference on Programming Languages and Systems
An efficient hardware implementation for AI applications
SETN'06 Proceedings of the 4th Helenic conference on Advances in Artificial Intelligence
Embedding static analysis into tableaux and sequent based frameworks
TABLEAUX'05 Proceedings of the 14th international conference on Automated Reasoning with Analytic Tableaux and Related Methods
Incremental evaluation of tabled prolog: beyond pure logic programs
PADL'06 Proceedings of the 8th international conference on Practical Aspects of Declarative Languages
Context-aware workflow language based on web services for ubiquitous computing
ICCSA'05 Proceedings of the 2005 international conference on Computational Science and Its Applications - Volume Part II
A loop-aware search strategy for automated performance analysis
HPCC'05 Proceedings of the First international conference on High Performance Computing and Communications
Graph theoretic software watermarks: implementation, analysis, and attacks
IH'04 Proceedings of the 6th international conference on Information Hiding
The data-flow equations of checkpointing in reverse automatic differentiation
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part IV
Object-Oriented language processing
JMLC'06 Proceedings of the 7th joint conference on Modular Programming Languages
Symbolic analysis of imperative programming languages
JMLC'06 Proceedings of the 7th joint conference on Modular Programming Languages
Fast profile-based partial redundancy elimination
JMLC'06 Proceedings of the 7th joint conference on Modular Programming Languages
A uWDL handler for context-aware workflow services in ubiquitous computing environments
EUC'05 Proceedings of the 2005 international conference on Embedded and Ubiquitous Computing
A workflow language based on structural context model for ubiquitous computing
EUC'05 Proceedings of the 2005 international conference on Embedded and Ubiquitous Computing
Handling faults in decentralized orchestration of composite web services
ICSOC'05 Proceedings of the Third international conference on Service-Oriented Computing
A software reproduction of virtual memory for deeply embedded systems
ICCSA'06 Proceedings of the 6th international conference on Computational Science and Its Applications - Volume Part I
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part I
A local algorithm for incremental evaluation of tabled logic programs
ICLP'06 Proceedings of the 22nd international conference on Logic Programming
TOAST: applying answer set programming to superoptimisation
ICLP'06 Proceedings of the 22nd international conference on Logic Programming
Run-Time memory optimization for DDMB architecture through a CCB algorithm
EUC'06 Proceedings of the 2006 international conference on Emerging Directions in Embedded and Ubiquitous Computing
Path-equivalent removals of ε-transitions in a genomic weighted finite automaton
CIAA'06 Proceedings of the 11th international conference on Implementation and Application of Automata
P systems, a new computational modelling tool for systems biology
Transactions on Computational Systems Biology VI
Simulations of microreactors: the order of things
DNA'06 Proceedings of the 12th international conference on DNA Computing
A dynamic stroke segmentation technique for sketched symbol recognition
IbPRIA'05 Proceedings of the Second Iberian conference on Pattern Recognition and Image Analysis - Volume Part II
Induction variable analysis with delayed abstractions
HiPEAC'05 Proceedings of the First international conference on High Performance Embedded Architectures and Compilers
Optimizations for compiling declarative models into boolean formulas
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
LR parsing for boolean grammars
DLT'05 Proceedings of the 9th international conference on Developments in Language Theory
A ubiquitous workflow service framework
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part IV
Information flow analysis for java bytecode
VMCAI'05 Proceedings of the 6th international conference on Verification, Model Checking, and Abstract Interpretation
Design and development of a system for the detection of agreement errors in basque
CICLing'05 Proceedings of the 6th international conference on Computational Linguistics and Intelligent Text Processing
Debugging with dominance: on-the-fly RTL debug solution implications
Proceedings of the International Conference on Computer-Aided Design
Identifying services from legacy batch applications
Proceedings of the 5th India Software Engineering Conference
New algorithms for regular expression matching
ICALP'06 Proceedings of the 33rd international conference on Automata, Languages and Programming - Volume Part I
Code generation for STA architecture
Euro-Par'06 Proceedings of the 12th international conference on Parallel Processing
A unified construction of the glushkov, follow, and antimirov automata
MFCS'06 Proceedings of the 31st international conference on Mathematical Foundations of Computer Science
Source-Level debugging for multiple languages with modest programming effort
CC'05 Proceedings of the 14th international conference on Compiler Construction
CC'05 Proceedings of the 14th international conference on Compiler Construction
Branch strategies to optimize decision trees for wide-issue architectures
LCPC'04 Proceedings of the 17th international conference on Languages and Compilers for High Performance Computing
Sicstus prolog-the first 25 years
Theory and Practice of Logic Programming - Prolog Systems
IWINAC'05 Proceedings of the First international work-conference on the Interplay Between Natural and Artificial Computation conference on Artificial Intelligence and Knowledge Engineering Applications: a bioinspired approach - Volume Part II
Improved GLR parsing algorithm
ICIC'05 Proceedings of the 2005 international conference on Advances in Intelligent Computing - Volume Part II
Compiler analysis and supports for leakage power reduction on microprocessors
LCPC'02 Proceedings of the 15th international conference on Languages and Compilers for Parallel Computing
Automatic detection of saturation and clipping idioms
LCPC'02 Proceedings of the 15th international conference on Languages and Compilers for Parallel Computing
Using model checking for reducing the cost of test generation
FATES'04 Proceedings of the 4th international conference on Formal Approaches to Software Testing
Improving the efficiency of misuse detection
DIMVA'05 Proceedings of the Second international conference on Detection of Intrusions and Malware, and Vulnerability Assessment
Interprocedural analysis for privileged code placement and tainted variable detection
ECOOP'05 Proceedings of the 19th European conference on Object-Oriented Programming
Efficient local unfolding with ancestor stacks for full prolog
LOPSTR'04 Proceedings of the 14th international conference on Logic Based Program Synthesis and Transformation
Computing logical form on regulatory texts
EMNLP '11 Proceedings of the Conference on Empirical Methods in Natural Language Processing
Machine translation based on constraint-based synchronous grammar
IJCNLP'05 Proceedings of the Second international joint conference on Natural Language Processing
Improved algorithm complexities for linear temporal logic model checking of pushdown systems
VMCAI'06 Proceedings of the 7th international conference on Verification, Model Checking, and Abstract Interpretation
Framework for safe reuse of software binaries
ICDCIT'04 Proceedings of the First international conference on Distributed Computing and Internet Technology
MobiSoft: an agent-based middleware for social-mobile applications
OTM'06 Proceedings of the 2006 international conference on On the Move to Meaningful Internet Systems: AWeSOMe, CAMS, COMINF, IS, KSinBIT, MIOS-CIAO, MONET - Volume Part I
QBD sensitivity analysis tool using discrete-event simulation and extension of SMCSolver
Proceedings of the 5th International ICST Conference on Performance Evaluation Methodologies and Tools
Performance and scalability analysis of cray x1 vectorization and multistreaming optimization
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part I
Source templates for the automatic generation of adjoint code through static call graph reversal
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part I
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part I
Automatic ADL-based assembler generation for ASIP programming support
SAMOS'05 Proceedings of the 5th international conference on Embedded Computer Systems: architectures, Modeling, and Simulation
Saturn: a SAT-based tool for bug detection
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
TVOC: a translation validator for optimizing compilers
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
Extended weighted pushdown systems
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
Visualising larger state spaces in ProB
ZB'05 Proceedings of the 4th international conference on Formal Specification and Development in Z and B
V→M: a virtual machine for strict evaluation of (co) recursive functions
IFL'04 Proceedings of the 16th international conference on Implementation and Application of Functional Languages
Simple, effective code-size reduction for functional programs
IFL'04 Proceedings of the 16th international conference on Implementation and Application of Functional Languages
Context-free languages via coalgebraic trace semantics
CALCO'05 Proceedings of the First international conference on Algebra and Coalgebra in Computer Science
Conceptual content management for software engineering processes
ADBIS'05 Proceedings of the 9th East European conference on Advances in Databases and Information Systems
ETCH: an enhanced type checking tool for promela
SPIN'05 Proceedings of the 12th international conference on Model Checking Software
Prevention of information attacks by run-time detection of self-replication in computer codes
MMM-ACNS'05 Proceedings of the Third international conference on Mathematical Methods, Models, and Architectures for Computer Network Security
Dependence testing: extending data flow testing with control dependence
TestCom'05 Proceedings of the 17th IFIP TC6/WG 6.1 international conference on Testing of Communicating Systems
Steganography for executables and code transformation signatures
ICISC'04 Proceedings of the 7th international conference on Information Security and Cryptology
AIDA compiler: a code synthesizer from programs in pictures
Proceedings of the 2012 Joint International Conference on Human-Centered Computer Environments
Algorithmic verification of asynchronous programs
ACM Transactions on Programming Languages and Systems (TOPLAS)
Policy-driven memory protection for reconfigurable hardware
ESORICS'06 Proceedings of the 11th European conference on Research in Computer Security
Call tree transformation for program obfuscation and copy protection
DRMTICS'05 Proceedings of the First international conference on Digital Rights Management: technologies, Issues, Challenges and Systems
Program optimizations and transformations in calculation form
GTTSE'05 Proceedings of the 2005 international conference on Generative and Transformational Techniques in Software Engineering
Mappings make data processing go 'round
GTTSE'05 Proceedings of the 2005 international conference on Generative and Transformational Techniques in Software Engineering
Content is capricious: a case for dynamic system generation
ADBIS'06 Proceedings of the 10th East European conference on Advances in Databases and Information Systems
Automated generation of positive and negative tests for parsers
FATES'05 Proceedings of the 5th international conference on Formal Approaches to Software Testing
Defining object-oriented execution semantics using graph transformations
FMOODS'06 Proceedings of the 8th IFIP WG 6.1 international conference on Formal Methods for Open Object-Based Distributed Systems
Quantum automata theory – a review
Algebraic Foundations in Computer Science
CC'10/ETAPS'10 Proceedings of the 19th joint European conference on Theory and Practice of Software, international conference on Compiler Construction
RATA: rapid atomic type analysis by abstract interpretation – application to javascript optimization
CC'10/ETAPS'10 Proceedings of the 19th joint European conference on Theory and Practice of Software, international conference on Compiler Construction
TRX: a formally verified parser interpreter
ESOP'10 Proceedings of the 19th European conference on Programming Languages and Systems
Kimchi: a binary rewriting defense against format string attacks
WISA'05 Proceedings of the 6th international conference on Information Security Applications
Path optimization in programs and its application to debugging
ESOP'06 Proceedings of the 15th European conference on Programming Languages and Systems
Bounded model checking of software using SMT solvers instead of SAT solvers
SPIN'06 Proceedings of the 13th international conference on Model Checking Software
Register allocation via graph coloring using an evolutionary algorithm
SEMCCO'11 Proceedings of the Second international conference on Swarm, Evolutionary, and Memetic Computing - Volume Part II
Dynamic register promotion of stack variables
CGO '11 Proceedings of the 9th Annual IEEE/ACM International Symposium on Code Generation and Optimization
Specifying and detecting meaningful changes in programs
ASE '11 Proceedings of the 2011 26th IEEE/ACM International Conference on Automated Software Engineering
Explaining Activities as Consistent Groups of Events
International Journal of Computer Vision
A practical algorithm for intersecting weighted context-free grammars with finite-state automata
FSMNLP '11 Proceedings of the 9th International Workshop on Finite State Methods and Natural Language Processing
A unified optimizing compiler framework for different GPGPU architectures
ACM Transactions on Architecture and Code Optimization (TACO)
A generic architecture for data flow analysis to support reverse engineering
Algebraic'97 Proceedings of the 2nd international conference on Theory and Practice of Algebraic Specifications
A model for dynamic state-based systems
1FACS'96 Proceedings of the 1st BCS-FACS conference on Northern Formal Methods
Optimizing data shuffling in data-parallel computation by understanding user-defined functions
NSDI'12 Proceedings of the 9th USENIX conference on Networked Systems Design and Implementation
A self-applicable online partial evaluator for recursive flowchart languages
Software—Practice & Experience
Automatic inference of resource consumption bounds
LPAR'12 Proceedings of the 18th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Characterizing the rational functions by restarting transducers
LATA'12 Proceedings of the 6th international conference on Language and Automata Theory and Applications
Automaton-Based array initialization analysis
LATA'12 Proceedings of the 6th international conference on Language and Automata Theory and Applications
LR techniques for handling syntax errors
Computer Languages
Heuristic chaining in directed acyclic graphs
Computer Languages
Static analysis of PostScript code
Computer Languages
Optimizing structural recursion in functional programs
Computer Languages
On the code development paradigm of RPC and CORBA applications
Computer Communications
Micro-specialization: dynamic code specialization of database management systems
Proceedings of the Tenth International Symposium on Code Generation and Optimization
Soot-based implementation of a demand-driven reaching definitions analysis
Proceedings of the ACM SIGPLAN International Workshop on State of the Art in Java Program analysis
Runtime verification with predictive semantics
NFM'12 Proceedings of the 4th international conference on NASA Formal Methods
Mathematical and Computer Modelling: An International Journal
Finding, expressing and managing parallelism in programs executed on clusters of workstations
Computer Communications
On the prediction of reduction goals: a deterministic approach
Computer Languages, Systems and Structures
A human study of patch maintainability
Proceedings of the 2012 International Symposium on Software Testing and Analysis
Evaluating program analysis and testing tools with the RUGRAT random benchmark application generator
Proceedings of the 2012 Workshop on Dynamic Analysis
Automated analysis of CSS rules to support style maintenance
Proceedings of the 34th International Conference on Software Engineering
How much does unused code matter for maintenance?
Proceedings of the 34th International Conference on Software Engineering
Recognizing malicious software behaviors with tree automata inference
Formal Methods in System Design
Logic and Program Semantics
Parse forest diagnostics with dr. ambiguity
SLE'11 Proceedings of the 4th international conference on Software Language Engineering
Optimized inlining of runtime monitors
NordSec'11 Proceedings of the 16th Nordic conference on Information Security Technology for Applications
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Regular path queries on large graphs
SSDBM'12 Proceedings of the 24th international conference on Scientific and Statistical Database Management
BITES Instead of FIRST for Parsing Expression Grammar
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
A Formal Syntax of Natural Languages and the Deductive Grammar
Fundamenta Informaticae - Cognitive Informatics, Cognitive Computing, and Their Denotational Mathematical Foundations (II)
Paradigms of Denotational Mathematics for Cognitive Informatics and Cognitive Computing
Fundamenta Informaticae - Cognitive Informatics, Cognitive Computing, and Their Denotational Mathematical Foundations (I)
Identifying improvement potential in evolving product line infrastructures: 3 case studies
Proceedings of the 16th International Software Product Line Conference - Volume 1
Chimera: a declarative language for streaming network traffic analysis
Security'12 Proceedings of the 21st USENIX conference on Security symposium
Functional programming with structured graphs
Proceedings of the 17th ACM SIGPLAN international conference on Functional programming
Applying Classical Concepts to Parsing Expression Grammar
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Fundamenta Informaticae
Methods as Parameters: A Preprocessing Approach to Higher Order in Java
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Some Aspects of Parsing Expression Grammar
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Domain-Specific languages in few steps: the neverlang approach
SC'12 Proceedings of the 11th international conference on Software Composition
Towards a family of model transformation languages
ICMT'12 Proceedings of the 5th international conference on Theory and Practice of Model Transformations
ECOOP'12 Proceedings of the 26th European conference on Object-Oriented Programming
SFM'12 Proceedings of the 12th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems: formal methods for model-driven engineering
Program Transformation with Scoped Dynamic Rewrite Rules
Fundamenta Informaticae - Program Transformation: Theoretical Foundations and Basic Techniques. Part 2
A Method for Automatic Program Inversion Based on LR(0) Parsing
Fundamenta Informaticae - Program Transformation: Theoretical Foundations and Basic Techniques. Part 1
Validation of requirements for hybrid systems: A formal approach
ACM Transactions on Software Engineering and Methodology (TOSEM)
Coinductive Axiomatization of Recursive Type Equality and Subtyping
Fundamenta Informaticae
Using static analysis for coverage extraction fromemulation/prototyping platforms
Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Dr. Android and Mr. Hide: fine-grained permissions in android applications
Proceedings of the second ACM workshop on Security and privacy in smartphones and mobile devices
An interactive parser generator for context-free grammars
Journal of Computing Sciences in Colleges
Top-Down Parsing With Simultaneous Evaluation Of Noncircular Attribute Grammars
Fundamenta Informaticae
Probabilistic contracts for component-based design
Formal Methods in System Design
Spotting code optimizations in data-parallel pipelines through PeriSCOPE
OSDI'12 Proceedings of the 10th USENIX conference on Operating Systems Design and Implementation
Tiling stencil computations to maximize parallelism
SC '12 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
Concurrency-aware compiler optimizations for hardware description languages
ACM Transactions on Design Automation of Electronic Systems (TODAES) - Special section on adaptive power management for energy and temperature-aware computing systems
A bounded incremental test generation algorithm for finite state machines
TestCom'07/FATES'07 Proceedings of the 19th IFIP TC6/WG6.1 international conference, and 7th international conference on Testing of Software and Communicating Systems
Magic-sets transformation for the analysis of java bytecode
SAS'07 Proceedings of the 14th international conference on Static Analysis
Automatic generation of dynamic tuning techniques
Euro-Par'07 Proceedings of the 13th international Euro-Par conference on Parallel Processing
Let a single FLWOR bloom: (to improve XQuery plan generation)
XSym'07 Proceedings of the 5th international conference on Database and XML Technologies
Automated semantic analysis of design models
MODELS'07 Proceedings of the 10th international conference on Model Driven Engineering Languages and Systems
UML2Alloy: a challenging model transformation
MODELS'07 Proceedings of the 10th international conference on Model Driven Engineering Languages and Systems
Definite expression aliasing analysis for java bytecode
ICTAC'12 Proceedings of the 9th international conference on Theoretical Aspects of Computing
High Performance Static Analysis for Industry
Electronic Notes in Theoretical Computer Science (ENTCS)
Transactions on Large-Scale Data- and Knowledge-Centered Systems VI
Synthesizing object life cycles from business process models
ER'12 Proceedings of the 31st international conference on Conceptual Modeling
Approximate regular expression matching with multi-strings
Journal of Discrete Algorithms
XUTools: UNIX commands for processing next-generation structured text
lisa'12 Proceedings of the 26th international conference on Large Installation System Administration: strategies, tools, and techniques
Using logic to solve the submodule construction problem
Discrete Event Dynamic Systems
Innovations in Systems and Software Engineering
The Tapenade automatic differentiation tool: Principles, model, and specification
ACM Transactions on Mathematical Software (TOMS)
Explicit-State software model checking based on CEGAR and interpolation
FASE'13 Proceedings of the 16th international conference on Fundamental Approaches to Software Engineering
On the differences between correct student solutions
Proceedings of the 18th ACM conference on Innovation and technology in computer science education
ε-Matching: event processing over noisy sequences in real time
Proceedings of the 2013 ACM SIGMOD International Conference on Management of Data
Compiler directed write-mode selection for high performance low power volatile PCM
Proceedings of the 14th ACM SIGPLAN/SIGBED conference on Languages, compilers and tools for embedded systems
Inferring complete initialization of arrays
Theoretical Computer Science
A novel watermarking method for Java programs
Proceedings of the 28th Annual ACM Symposium on Applied Computing
Efficient and flexible GUI test execution via test merging
Proceedings of the 2013 International Symposium on Software Testing and Analysis
Explicating symbolic execution (xSymExe): an evidence-based verification framework
Proceedings of the 2013 International Conference on Software Engineering
Inferring dependency constraints on parameters for web services
Proceedings of the 22nd international conference on World Wide Web
SSDM: smart stack data management for software managed multicores (SMMs)
Proceedings of the 50th Annual Design Automation Conference
Identifying message flow in distributed event-based systems
Proceedings of the 2013 9th Joint Meeting on Foundations of Software Engineering
Analyzing and defending against web-based malware
ACM Computing Surveys (CSUR)
State-based model slicing: A survey
ACM Computing Surveys (CSUR)
Idiom recognition framework using topological embedding
ACM Transactions on Architecture and Code Optimization (TACO)
The shape of things to run: compiling complex stream graphs to reconfigurable hardware in lime
ECOOP'13 Proceedings of the 27th European conference on Object-Oriented Programming
Non-blocking parallel subset construction on shared-memory multicore architectures
AusPDC '13 Proceedings of the Eleventh Australasian Symposium on Parallel and Distributed Computing - Volume 140
Simseer and bugwise: web services for binary-level software similarity and defect detection
AusPDC '13 Proceedings of the Eleventh Australasian Symposium on Parallel and Distributed Computing - Volume 140
Automatic feature generation for machine learning--based optimising compilation
ACM Transactions on Architecture and Code Optimization (TACO)
Application-tailored linear algebra algorithms: A search-based approach
International Journal of High Performance Computing Applications
Post-compiler software optimization for reducing energy
Proceedings of the 19th international conference on Architectural support for programming languages and operating systems
Control-flow integrity principles, implementations, and applications
ACM Transactions on Information and System Security (TISSEC)
An operational semantics for android activities
Proceedings of the ACM SIGPLAN 2014 Workshop on Partial Evaluation and Program Manipulation
WCET analysis with MRU cache: Challenging LRU for predictability
ACM Transactions on Embedded Computing Systems (TECS)
Innovations in Systems and Software Engineering
Incremental semantic analysis for OCL compilers
Innovations in Systems and Software Engineering
Modular plans for secure service composition
Journal of Computer Security - ARSPA-WITS'10
Fundamenta Informaticae - Concurrency, Specification and Programming
Counterexample-guided abstraction refinement for linear programs with arrays
Automated Software Engineering
Hi-index | 0.14 |