SAT with partial clauses and back-leaps
Proceedings of the 39th annual Design Automation Conference
The Quest for Efficient Boolean Satisfiability Solvers
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
Journal of Symbolic Computation
A signal correlation guided ATPG solver and its applications for solving difficult industrial cases
Proceedings of the 40th annual Design Automation Conference
Learning from BDDs in SAT-based bounded model checking
Proceedings of the 40th annual Design Automation Conference
Shatter: efficient symmetry-breaking for boolean satisfiability
Proceedings of the 40th annual Design Automation Conference
Solving Satisfiability in Combinational Circuits
IEEE Design & Test
Proceedings of the conference on Design, automation and test in Europe - Volume 1
A Novel SAT All-Solutions Solver for Efficient Preimage Computation
Proceedings of the conference on Design, automation and test in Europe - Volume 1
A Comparative Study of Two Boolean Formulations of FPGA Detailed Routing Constraints
IEEE Transactions on Computers
Efficient formal verification of pipelined processors with instruction queues
Proceedings of the 14th ACM Great Lakes symposium on VLSI
Refining the SAT decision ordering for bounded model checking
Proceedings of the 41st annual Design Automation Conference
Quantum logic synthesis by symbolic reachability analysis
Proceedings of the 41st annual Design Automation Conference
Faster constraint solving with subtypes
ISSTA '04 Proceedings of the 2004 ACM SIGSOFT international symposium on Software testing and analysis
CAMA: A Multi-Valued Satisfiability Solver
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Iterative Abstraction using SAT-based BMC with Proof Analysis
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Efficient translation of boolean formulas to CNF in formal verification of microprocessors
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Satisfiability and integer programming as complementary tools
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Local Search for Boolean Relations on the Basis of Unit Propagation
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Verification of Proofs of Unsatisfiability for CNF Formulas
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Improving SAT-Based Bounded Model Checking by Means of BDD-Based Approximate Traversals
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Reconfigurable Hardware SAT Solvers: A Survey of Systems
IEEE Transactions on Computers
A software/reconfigurable hardware SAT solver
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Annals of Mathematics and Artificial Intelligence
A SAT Approach to Query Optimization in Mediator Systems
Annals of Mathematics and Artificial Intelligence
A Parsimony Tree for the SAT2002 Competition
Annals of Mathematics and Artificial Intelligence
On Deciding Subsumption Problems
Annals of Mathematics and Artificial Intelligence
An Efficient Sequential SAT Solver With Improved Search Strategies
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
GridSAT: A Chaff-based Distributed SAT Solver for the Grid
Proceedings of the 2003 ACM/IEEE conference on Supercomputing
An effective and efficient ATPG-based combinational equivalence checker
GLSVLSI '05 Proceedings of the 15th ACM Great Lakes symposium on VLSI
DynAlloy: upgrading alloy with actions
Proceedings of the 27th international conference on Software engineering
PASSAT: Efficient SAT-Based Test Pattern Generation for Industrial Circuits
ISVLSI '05 Proceedings of the IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design
Logic programming with infinite sets
Annals of Mathematics and Artificial Intelligence
Dynamic transition relation simplification for bounded property checking
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Guiding CNF-SAT search via efficient constraint partitioning
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Incremental deductive & inductive reasoning for SAT-based bounded model checking
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Integrating CNF and BDD based SAT solvers
HLDVT '03 Proceedings of the Eighth IEEE International Workshop on High-Level Design Validation and Test Workshop
Enhancing SAT-based equivalence checking with static logic implications
HLDVT '03 Proceedings of the Eighth IEEE International Workshop on High-Level Design Validation and Test Workshop
HLDVT '03 Proceedings of the Eighth IEEE International Workshop on High-Level Design Validation and Test Workshop
Generation of shorter sequences for high resolution error diagnosis using sequential SAT
ASP-DAC '06 Proceedings of the 2006 Asia and South Pacific Design Automation Conference
Comparison of schemes for encoding unobservability in translation to SAT
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Integration of supercubing and learning in a SAT solver
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Dynamic symmetry-breaking for improved Boolean optimization
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Using Abstraction for Efficient Formal Verification of Pipelined Processors with Value Prediction
ISQED '06 Proceedings of the 7th International Symposium on Quality Electronic Design
NP-completeness for all computer science undergraduates: a novel project-based curriculum
Journal of Computing Sciences in Colleges
Test generation using SAT-based bounded model checking for validation of pipelined processors
GLSVLSI '06 Proceedings of the 16th ACM Great Lakes symposium on VLSI
Efficient Symmetry Breaking for Boolean Satisfiability
IEEE Transactions on Computers
Strong conflict analysis for propositional satisfiability
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Verification of large scale nano systems with unreliable nano devices
Nano, quantum and molecular computing
Verification of embedded systems based on interval analysis
International Journal of Parallel Programming
Fast illegal state identification for improving SAT-based induction
Proceedings of the 43rd annual Design Automation Conference
Mining global constraints for improving bounded sequential equivalence checking
Proceedings of the 43rd annual Design Automation Conference
A fast SAT solver algorithm best suited to reconfigurable hardware
SBCCI '06 Proceedings of the 19th annual symposium on Integrated circuits and systems design
Symbolic Techniques in Satisfiability Solving
Journal of Automated Reasoning
The Complexity of Pure Literal Elimination
Journal of Automated Reasoning
Heuristic-Based Backtracking Relaxation for Propositional Satisfiability
Journal of Automated Reasoning
Speeding up model-based diagnosis by a heuristic approach to solving SAT
AIA'06 Proceedings of the 24th IASTED international conference on Artificial intelligence and applications
Propositional Satisfiability and Constraint Programming: A comparative survey
ACM Computing Surveys (CSUR)
Answer Set Programming Based on Propositional Satisfiability
Journal of Automated Reasoning
Improvements in SAT-based Reachability Analysis for Timed Automata
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P 2003)
ACM SIGMOD Record
GridSAT: a system for solving satisfiability problems using a computational grid
Parallel Computing - Optimization on grids - Optimization for grids
Bounded model checking of infinite state systems
Formal Methods in System Design
Bounded Model Checking with Parametric Data Structures
Electronic Notes in Theoretical Computer Science (ENTCS)
Interpolant Learning and Reuse in SAT-Based Model Checking
Electronic Notes in Theoretical Computer Science (ENTCS)
Sequential Circuits for Relational Analysis
ICSE '07 Proceedings of the 29th international conference on Software Engineering
Event propagation for accurate circuit delay calculation using SAT
ACM Transactions on Design Automation of Electronic Systems (TODAES)
QuteSAT: a robust circuit-based SAT solver for complex circuit structure
Proceedings of the conference on Design, automation and test in Europe
Discrete Applied Mathematics
BerkMin: A fast and robust Sat-solver
Discrete Applied Mathematics
Random backtracking in backtrack search algorithms for satisfiability
Discrete Applied Mathematics
Using SAT-based techniques in power estimation
Microelectronics Journal
Visualizing SAT Instances and Runs of the DPLL Algorithm
Journal of Automated Reasoning
Solution and Optimization of Systems of Pseudo-Boolean Constraints
IEEE Transactions on Computers
Efficient Analysis of DynAlloy Specifications
ACM Transactions on Software Engineering and Methodology (TOSEM)
Sequential circuits for program analysis
Proceedings of the twenty-second IEEE/ACM international conference on Automated software engineering
An automated approach to monitoring and diagnosing requirements
Proceedings of the twenty-second IEEE/ACM international conference on Automated software engineering
Exploiting hierarchy and structure to efficiently solve graph coloring as SAT
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
A Methodology for Handling Complex Functional Constraints for Large Industrial Designs
Journal of Electronic Testing: Theory and Applications
On look-ahead heuristics in disjunctive logic programming
Annals of Mathematics and Artificial Intelligence
SAT-based equivalence checking of threshold logic designs for nanotechnologies
Proceedings of the 18th ACM Great Lakes symposium on VLSI
Comparison of Boolean satisfiability encodings on FPGA detailed routing problems
Proceedings of the conference on Design, automation and test in Europe
BenCGen: a digital circuit generation tool for benchmarks
Proceedings of the 21st annual symposium on Integrated circuits and system design
Model checking with Boolean Satisfiability
Journal of Algorithms
Finding Minimal Unsatisfiable Cores of Declarative Specifications
FM '08 Proceedings of the 15th international symposium on Formal Methods
Theorem Proving for Verification (Invited Tutorial)
CAV '08 Proceedings of the 20th international conference on Computer Aided Verification
Revising Specifications with CTL Properties Using Bounded Model Checking
SBIA '08 Proceedings of the 19th Brazilian Symposium on Artificial Intelligence: Advances in Artificial Intelligence
Efficient Generation of Unsatisfiability Proofs and Cores in SAT
LPAR '08 Proceedings of the 15th International Conference on Logic for Programming, Artificial Intelligence, and Reasoning
FPGA-based hardware acceleration for Boolean satisfiability
ACM Transactions on Design Automation of Electronic Systems (TODAES)
A generative power-law search tree model
Computers and Operations Research
Monitoring and diagnosing software requirements
Automated Software Engineering
Formalization and Implementation of Modern SAT Solvers
Journal of Automated Reasoning
Functional test generation using design and property decomposition techniques
ACM Transactions on Embedded Computing Systems (TECS)
Verification Techniques for System-Level Design
Verification Techniques for System-Level Design
Generating Satisfiable SAT Instances Using Random Subgraph Isomorphism
Canadian AI '09 Proceedings of the 22nd Canadian Conference on Artificial Intelligence: Advances in Artificial Intelligence
Reconfigurable Computing: The Theory and Practice of FPGA-Based Computation
Reconfigurable Computing: The Theory and Practice of FPGA-Based Computation
Clause-Learning Algorithms with Many Restarts and Bounded-Width Resolution
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Boundary Points and Resolution
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Restart Strategy Selection Using Machine Learning Techniques
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Width-Based Restart Policies for Clause-Learning Satisfiability Solvers
SAT '09 Proceedings of the 12th International Conference on Theory and Applications of Satisfiability Testing
Modeling choices in quasigroup completion: SAT vs. CSP
AAAI'04 Proceedings of the 19th national conference on Artifical intelligence
SymChaff: a structure-aware satisfiability solver
AAAI'05 Proceedings of the 20th national conference on Artificial intelligence - Volume 1
Performing Bayesian inference by weighted model counting
AAAI'05 Proceedings of the 20th national conference on Artificial intelligence - Volume 1
Generalizing Boolean satisfiability I: background and survey of existing work
Journal of Artificial Intelligence Research
Breaking instance-independent symmetries in exact graph coloring
Journal of Artificial Intelligence Research
Journal of Artificial Intelligence Research
New inference rules for Max-SAT
Journal of Artificial Intelligence Research
Conflict-driven answer set solving
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
The effect of restarts on the efficiency of clause learning
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
Efficient SAT solving for non-clausal formulas using DPLL, graphs, and watched cuts
Proceedings of the 46th Annual Design Automation Conference
Efficient symmetry breaking for boolean satisfiability
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
SAT-Solving in Practice, with a Tutorial Example from Supervisory Control
Discrete Event Dynamic Systems
Exact multiple-control toffoli network synthesis with SAT techniques
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
PN code acquisition using Boolean satisfiability techniques
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Efficient SAT Techniques for Relative Encoding of Permutations with Constraints
AI '09 Proceedings of the 22nd Australasian Joint Conference on Advances in Artificial Intelligence
Bounded Model Generation for Isabelle/HOL
Electronic Notes in Theoretical Computer Science (ENTCS)
Reducing Symmetries to Generate Easier SAT Instances
Electronic Notes in Theoretical Computer Science (ENTCS)
An Incremental Algorithm to Check Satisfiability for Bounded Model Checking
Electronic Notes in Theoretical Computer Science (ENTCS)
Adaptive Application of SAT Solving Techniques
Electronic Notes in Theoretical Computer Science (ENTCS)
Practical algorithms for unsatisfiability proof and core generation in SAT solvers
AI Communications - Practical Aspects of Automated Reasoning
Parallel SAT solving in bounded model checking
FMICS'06/PDMC'06 Proceedings of the 11th international workshop, FMICS 2006 and 5th international workshop, PDMC conference on Formal methods: Applications and technology
Experimenting with look-back heuristics for hard ASP programs
LPNMR'07 Proceedings of the 9th international conference on Logic programming and nonmonotonic reasoning
Clasp: a conflict-driven answer set solver
LPNMR'07 Proceedings of the 9th international conference on Logic programming and nonmonotonic reasoning
Combining abstraction refinement and SAT-based model checking
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
A Gröbner basis approach to CNF-formulae preprocessing
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
Kodkod: a relational model finder
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
Experimental analysis of different techniques for bounded model checking
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
Checking properties of heap-manipulating procedures with a constraint solver
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
The effect of Nogood recording in DPLL-CBJ SAT algorithms
ERCIM'02/CologNet'02 Proceedings of the 2002 Joint ERCIM/CologNet international conference on Constraint solving and constraint logic programming
Verifying propositional unsatisfiability: pitfalls to avoid
SAT'07 Proceedings of the 10th international conference on Theory and applications of satisfiability testing
A short survey of automated reasoning
AB'07 Proceedings of the 2nd international conference on Algebraic biology
Towards robust CNF encodings of cardinality constraints
CP'07 Proceedings of the 13th international conference on Principles and practice of constraint programming
CP'07 Proceedings of the 13th international conference on Principles and practice of constraint programming
Compressing propositional proofs by common subproof extraction
EUROCAST'07 Proceedings of the 11th international conference on Computer aided systems theory
A decision-making procedure for resolution-based SAT-solvers
SAT'08 Proceedings of the 11th international conference on Theory and applications of satisfiability testing
Improvements to hybrid incremental SAT algorithms
SAT'08 Proceedings of the 11th international conference on Theory and applications of satisfiability testing
Dynamic symmetry-breaking for Boolean satisfiability
Annals of Mathematics and Artificial Intelligence
Synthesizing complementary circuits automatically
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Formal verification of a modern SAT solver by shallow embedding into Isabelle/HOL
Theoretical Computer Science
Defect-aware logic mapping for nanowire-based programmable logic arrays via satisfiability
Proceedings of the Conference on Design, Automation and Test in Europe
Integration, the VLSI Journal
An optimal approach to the task allocation problem on hierarchical architectures
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
A method for debugging of pipelined processors in formal verification by correspondence checking
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
Diagnosing software using statecharts
Proceedings of the 2010 Conference of the Center for Advanced Studies on Collaborative Research
On the power of clause-learning SAT solvers as resolution engines
Artificial Intelligence
Modeling partial attacks with ALLOY
Proceedings of the 15th international conference on Security protocols
Method for formal verification of soft-error tolerance mechanisms in pipelined microprocessors
ICFEM'10 Proceedings of the 12th international conference on Formal engineering methods and software engineering
A logic based algorithm for solving probabilistic satisfiability
IBERAMIA'10 Proceedings of the 12th Ibero-American conference on Advances in artificial intelligence
Sechecker: a sequential equivalence checking framework based on K th invariants
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Potassco: The Potsdam Answer Set Solving Collection
AI Communications - Answer Set Programming
Learning and using domain-specific heuristics in ASP solvers
AI Communications - Answer Set Programming
A halting algorithm to determine the existence of decoder
Proceedings of the 2010 Conference on Formal Methods in Computer-Aided Design
Optimization methods for the partner units problem
CPAIOR'11 Proceedings of the 8th international conference on Integration of AI and OR techniques in constraint programming for combinatorial optimization problems
Reducing chaos in SAT-like search: finding solutions close to a given one
SAT'11 Proceedings of the 14th international conference on Theory and application of satisfiability testing
Encoding OCL data types for SAT-based verification of UML/OCL models
TAP'11 Proceedings of the 5th international conference on Tests and proofs
ICFEM'11 Proceedings of the 13th international conference on Formal methods and software engineering
Abstraction and refinement in model checking
FMCO'05 Proceedings of the 4th international conference on Formal Methods for Components and Objects
Extended resolution proofs for conjoining BDDs
CSR'06 Proceedings of the First international computer science conference on Theory and Applications
Decision procedures for SAT, SAT modulo theories and beyond. the barcelogictools
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Deciding separation logic formulae by SAT and incremental negative cycle elimination
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Pool resolution and its relation to regular resolution and DPLL with clause learning
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Another complete local search method for SAT
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
CirCUs: a hybrid satisfiability solver
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
NiVER: non-increasing variable elimination resolution for preprocessing SAT instances
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Zchaff2004: an efficient SAT solver
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
A boolean encoding including SAT and n-ary CSPs
AIMSA'06 Proceedings of the 12th international conference on Artificial Intelligence: methodology, Systems, and Applications
A clause-based heuristic for SAT solvers
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
Heuristics for fast exact model counting
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
Benchmarking SAT solvers for bounded model checking
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
Variable ordering for efficient SAT search by analyzing constraint-variable dependencies
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
Speedup techniques utilized in modern SAT solvers
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
Inferring assertion for complementary synthesis
Proceedings of the International Conference on Computer-Aided Design
Automatic formal verification of multithreaded pipelined microprocessors
Proceedings of the International Conference on Computer-Aided Design
Efficient conflict analysis for finding all satisfying assignments of a boolean circuit
TACAS'05 Proceedings of the 11th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Conflict directed variable selection strategies for constraint satisfaction problems
SETN'10 Proceedings of the 6th Hellenic conference on Artificial Intelligence: theories, models and applications
DPLL(T) with exhaustive theory propagation and its application to difference logic
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
Automatic formal verification of liveness for pipelined processors with multicycle functional units
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
An analysis of SAT-based model checking techniques in an industrial environment
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
Dealing with Satisfiability and n-ary CSPs in a Logical Framework
Journal of Automated Reasoning
Extended resolution proofs for symbolic SAT solving with quantification
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Satisfiability checking of non-clausal formulas using general matings
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Applications of SAT solvers to cryptanalysis of hash functions
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Fast and flexible difference constraint propagation for DPLL(T)
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
On solving the partial MAX-SAT problem
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Implementing survey propagation on graphics processing units
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
sharpSAT: counting models with advanced component caching and implicit BCP
SAT'06 Proceedings of the 9th international conference on Theory and Applications of Satisfiability Testing
Monotonicity analysis can speed up verification
RelMiCS'06/AKA'06 Proceedings of the 9th international conference on Relational Methods in Computer Science, and 4th international conference on Applications of Kleene Algebra
Approximate boolean reasoning: foundations and applications in data mining
Transactions on Rough Sets V
Automatic test pattern generation
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
SAT-Based verification methods and applications in hardware verification
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
Building efficient decision procedures on top of SAT solvers
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
Floating-Point verification using theorem proving
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
HAIFASAT: a new robust SAT solver
HVC'05 Proceedings of the First Haifa international conference on Hardware and Software Verification and Testing
Efficient abstraction refinement in interpolation-based unbounded model checking
TACAS'06 Proceedings of the 12th international conference on Tools and Algorithms for the Construction and Analysis of Systems
A hardware relaxation paradigm for solving NP-hard problems
VoCS'08 Proceedings of the 2008 international conference on Visions of Computer Science: BCS International Academic Conference
Conflict-driven answer set solving: From theory to practice
Artificial Intelligence
Conflict analysis in mixed integer programming
Discrete Optimization
An overview of parallel SAT solving
Constraints
SAT based timing analysis for fixed and rise/fall gate delay models
Integration, the VLSI Journal
Improvements in SAT-based Reachability Analysis for Timed Automata
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P 2003)
Producing and verifying extremely large propositional refutations
Annals of Mathematics and Artificial Intelligence
A multilevel memetic algorithm for large sat-encoded problems
Evolutionary Computation
Factoring out assumptions to speed up MUS extraction
SAT'13 Proceedings of the 16th international conference on Theory and Applications of Satisfiability Testing
Improving glucose for incremental SAT solving with assumptions: application to MUS extraction
SAT'13 Proceedings of the 16th international conference on Theory and Applications of Satisfiability Testing
Just-in-time compilation of knowledge bases
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Hi-index | 0.01 |
We describe a SAT-solver, BerkMin, that inherits suchfeatures of GRASP, SATO, and Chaff as clause recording,fast BCP, restarts, and conflict clause "aging". At thesame time BerkMin introduces a new decision makingprocedure and a new method of clause databasemanagement. We experimentally compare BerkMin withChaff, the leader among SAT-solvers used in the EDAdomain. Experiments show that our solver is more robustthan Chaff. BerkMin solved all the instances we used inexperiments including very large CNFs from amicroprocessor verification benchmark suite. On the otherhand, Chaff was not able to complete some instances evenwith the timeout limit of 16 hours.