Scalable Global and Local Hashing Strategies for Duplicate Pruning in Parallel A* Graph Search
IEEE Transactions on Parallel and Distributed Systems
Synthesis of Application Specific Instructions for Embedded DSP Software
IEEE Transactions on Computers
Min-max placement for large-scale timing optimization
Proceedings of the 2002 international symposium on Physical design
Dynamic subgraph connectivity with geometric applications
STOC '02 Proceedings of the thiry-fourth annual ACM symposium on Theory of computing
Pseudo approximation algorithms, with applications to optimal motion planning
Proceedings of the eighteenth annual symposium on Computational geometry
Algorithmic aspects of topology control problems for ad hoc networks
Proceedings of the 3rd ACM international symposium on Mobile ad hoc networking & computing
Trainable videorealistic speech animation
Proceedings of the 29th annual conference on Computer graphics and interactive techniques
All pairs shortest paths using bridging sets and rectangular matrix multiplication
Journal of the ACM (JACM)
Low latency photon mapping using block hashing
Proceedings of the ACM SIGGRAPH/EUROGRAPHICS conference on Graphics hardware
Minimum-energy broadcast in all-wireless networks: NP-completeness and distribution issues
Proceedings of the 8th annual international conference on Mobile computing and networking
The Sisyphus database retrieval software performance antipattern
WOSP '02 Proceedings of the 3rd international workshop on Software and performance
Structural extraction from visual layout of documents
Proceedings of the eleventh international conference on Information and knowledge management
Adaptive Multimedia Presentation Strategies
Multimedia Tools and Applications
Transaction Processing in a Mobile, Multi-Database Environment
Multimedia Tools and Applications
Adaptive Piggybacking Schemes for Video-On-Demand Systems
Multimedia Tools and Applications
Recursive bipartitioning of BDDs for performance driven synthesis of pass transistor logic circuits
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Wire type assignment for FPGA routing
FPGA '03 Proceedings of the 2003 ACM/SIGDA eleventh international symposium on Field programmable gate arrays
Constrained "Modern" Floorplanning
Proceedings of the 2003 international symposium on Physical design
Approximation algorithms for the bottleneck stretch factor problem
Nordic Journal of Computing
A faster and simpler fully dynamic transitive closure
SODA '03 Proceedings of the fourteenth annual ACM-SIAM symposium on Discrete algorithms
ECCV '02 Proceedings of the 7th European Conference on Computer Vision-Part III
Algorithms for Learning Function Distinguishable Regular Languages
Proceedings of the Joint IAPR International Workshop on Structural, Syntactic, and Statistical Pattern Recognition
Delta-List Vertex Coloring in Linear Time
SWAT '02 Proceedings of the 8th Scandinavian Workshop on Algorithm Theory
SWAT '02 Proceedings of the 8th Scandinavian Workshop on Algorithm Theory
A Hybrid Approach to Web Usage Mining
DaWaK 2000 Proceedings of the 4th International Conference on Data Warehousing and Knowledge Discovery
On the Comparison-Addition Complexity of All-Pairs Shortest Paths
ISAAC '02 Proceedings of the 13th International Symposium on Algorithms and Computation
Average-Case Communication-Optimal Parallel Parenthesis Matching
ISAAC '02 Proceedings of the 13th International Symposium on Algorithms and Computation
QoS Routing: Average Complexity and Hopcount in m Dimensions
COST 263 Proceedings of the Second International Workshop on Quality of Future Internet Services
Coloring Algorithms on Subcubic Graphs
COCOON '02 Proceedings of the 8th Annual International Conference on Computing and Combinatorics
A Fault-Tolerant Merge Sorting Algorithm
COCOON '02 Proceedings of the 8th Annual International Conference on Computing and Combinatorics
Verification of Timed Automata via Satisfiability Checking
FTRTFT '02 Proceedings of the 7th International Symposium on Formal Techniques in Real-Time and Fault-Tolerant Systems: Co-sponsored by IFIP WG 2.2
The Cow_Suite Approach to Planning and Deriving Test Suites in UML Projects
UML '02 Proceedings of the 5th International Conference on The Unified Modeling Language
Gamma and the Chemical Reaction Model: Fifteen Years After
WMP '00 Proceedings of the Workshop on Multiset Processing: Multiset Processing, Mathematical, Computer Science, and Molecular Computing Points of View
How Efficiently Can Room at the Bottom Be Traded Away for Speed at the Top?
DNA8 Revised Papers from the 8th International Workshop on DNA Based Computers: DNA Computing
WS-Specification: Specifying Web Services Using UDDI Improvements
Revised Papers from the NODe 2002 Web and Database-Related Workshops on Web, Web-Services, and Database Systems
Optimization Algorithms for the Selection of Key Frame Sequences of Variable Length
ECCV '02 Proceedings of the 7th European Conference on Computer Vision-Part IV
The Dynamic Vertex Minimum Problem and Its Application to Clustering-Type Approximation Algorithms
SWAT '02 Proceedings of the 8th Scandinavian Workshop on Algorithm Theory
Intra-patient Prone to Supine Colon Registration for Synchronized Virtual Colonoscopy
MICCAI '02 Proceedings of the 5th International Conference on Medical Image Computing and Computer-Assisted Intervention-Part II
Computing the face lattice of a polytope from its vertex-facet incidences
Computational Geometry: Theory and Applications
PC trees and circular-ones arrangements
Theoretical Computer Science - Computing and combinatorics
View management in multimedia databases
The VLDB Journal — The International Journal on Very Large Data Bases
TREEZ - An educational data structures game
Journal of Computing Sciences in Colleges
Optimal binary search trees meet object-oriented programming
Journal of Computing Sciences in Colleges
The algorithms course: teaching recent advances in the state of the art
Journal of Computing Sciences in Colleges
Codesign-extended applications
Proceedings of the tenth international symposium on Hardware/software codesign
Growing squares: animated visualization of causal relations
Proceedings of the 2003 ACM symposium on Software visualization
On the maximum stable throughput problem in random networks with directional antennas
Proceedings of the 4th ACM international symposium on Mobile ad hoc networking & computing
Connected sensor cover: self-organization of sensor networks for efficient query execution
Proceedings of the 4th ACM international symposium on Mobile ad hoc networking & computing
PATHS: analysis of PATH duration statistics and their impact on reactive MANET routing protocols
Proceedings of the 4th ACM international symposium on Mobile ad hoc networking & computing
Flexible reference trace reduction for VM simulations
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Automated System-Level Test Development for Mixed-Signal Circuits
Analog Integrated Circuits and Signal Processing
Quantum time-space tradeoffs for sorting
Proceedings of the thirty-fifth annual ACM symposium on Theory of computing
Identification of function distinguishable languages
Theoretical Computer Science
Do the arithmetic operations really execute in constant time?
ACM SIGCSE Bulletin
EDTC '96 Proceedings of the 1996 European conference on Design and Test
Multi-layer chip-level global routing using an efficient graph-based Steiner tree heuristic
EDTC '97 Proceedings of the 1997 European conference on Design and Test
Performance Evaluation of a Multithreaded Fast Fourier Transform Algorithm for Derivative Pricing
The Journal of Supercomputing
Performance Enhancement Techniques for InfiniBand" Architecture
HPCA '03 Proceedings of the 9th International Symposium on High-Performance Computer Architecture
A Model-Based Approach to System-Level Dependency and Real-Time Analysis of Embedded Software
RTAS '03 Proceedings of the The 9th IEEE Real-Time and Embedded Technology and Applications Symposium
A Unified Method to Handle Different Kinds of Placement Constraints in Floorplan Design
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
An Efficient Algorithm for Low Power Pass Transistor Logic Synthesis
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
IP multicast for optically switched networks
ICCC '02 Proceedings of the 15th international conference on Computer communication
Single and bulk updates in stratified trees: an amortized and worst-case analysis
Computer Science in Perspective
Functional Pearl trouble shared is trouble halved
Haskell '03 Proceedings of the 2003 ACM SIGPLAN workshop on Haskell
Split-ordered lists: lock-free extensible hash tables
Proceedings of the twenty-second annual symposium on Principles of distributed computing
Statistical schema matching across web query interfaces
Proceedings of the 2003 ACM SIGMOD international conference on Management of data
The geometric maximum traveling salesman problem
Journal of the ACM (JACM)
Hierarchical mesh decomposition using fuzzy clustering and cuts
ACM SIGGRAPH 2003 Papers
Communications of the ACM - Why CS students need math
GM-WTA: an efficient workflow task allocation method in a distributed execution environment
Journal of Systems and Software
A New Approach for Gene Annotation Using Unambiguous Sequence Joining
CSB '03 Proceedings of the IEEE Computer Society Conference on Bioinformatics
Reactive routing overhead in networks with unreliable nodes
Proceedings of the 9th annual international conference on Mobile computing and networking
Strong Minimum Energy Topology in Wireless Sensor Networks: NP-Completeness and Heuristics
IEEE Transactions on Mobile Computing
Test data compression using dictionaries with selective entries and fixed-length indices
ACM Transactions on Design Automation of Electronic Systems (TODAES)
BANANAS: an evolutionary framework for explicit and multipath routing in the internet
FDNA '03 Proceedings of the ACM SIGCOMM workshop on Future directions in network architecture
Breaking cycles for minimizing crossings
Journal of Experimental Algorithmics (JEA)
Progressive scattered data filtering
Journal of Computational and Applied Mathematics
Buffer overrun detection using linear programming and static analysis
Proceedings of the 10th ACM conference on Computer and communications security
The CPR model for summarizing video
MMDB '03 Proceedings of the 1st ACM international workshop on Multimedia databases
Improving the usability of the hierarchical file system
SAICSIT '03 Proceedings of the 2003 annual research conference of the South African institute of computer scientists and information technologists on Enablement through technology
Stretching and jamming of automata
SAICSIT '03 Proceedings of the 2003 annual research conference of the South African institute of computer scientists and information technologists on Enablement through technology
On Maximum Rate Control of Weighted Fair Scheduling for Transactional Systems
RTSS '03 Proceedings of the 24th IEEE International Real-Time Systems Symposium
Processing in-route nearest neighbor queries: a comparison of alternative approaches
GIS '03 Proceedings of the 11th ACM international symposium on Advances in geographic information systems
Evaluating the markov assumption for web usage mining
WIDM '03 Proceedings of the 5th ACM international workshop on Web information and data management
CloseGraph: mining closed frequent graph patterns
Proceedings of the ninth ACM SIGKDD international conference on Knowledge discovery and data mining
How efficiently can room at the bottom be traded away for speed at the top?
Natural Computing: an international journal
Model-based compression in wireless ad hoc networks
Proceedings of the 1st international conference on Embedded networked sensor systems
BlueMesh: degree-constrained multi-hop scatternet formation for Bluetooth networks
Mobile Networks and Applications
Isochronets: an architecture for high-speed networks
CASCON '93 Proceedings of the 1993 conference of the Centre for Advanced Studies on Collaborative research: software engineering - Volume 1
On the existence of subexponential parameterized algorithms
Journal of Computer and System Sciences - Special issue on Parameterized computation and complexity
Efficient trip generation with a rule modeling system for crew scheduling problems
Journal of Systems and Software
Core selection with end-to-end QoS support
Proceedings of the 2004 ACM symposium on Applied computing
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Fault Tolerance of Programmable Switch Blocks
Proceedings of the conference on Design, automation and test in Europe - Volume 2
Optimal Algorithm for Minimizing the Number of Twists in an On-Chip Bus
Proceedings of the conference on Design, automation and test in Europe - Volume 2
Trees and jumps and real roots
Journal of Computational and Applied Mathematics - Special issue: Proceedings of the international conference on linear algebra and arithmetic, Rabat, Morocco, 28-31 May 2001
Validated observation and reporting of microscopic performance using Pentium II counter facilities
WOSP '04 Proceedings of the 4th international workshop on Software and performance
RECOMB '04 Proceedings of the eighth annual international conference on Resaerch in computational molecular biology
Mining protein family specific residue packing patterns from protein structure graphs
RECOMB '04 Proceedings of the eighth annual international conference on Resaerch in computational molecular biology
Energy-efficient communication for high density networks
Ambient intelligence
Minimal Cost Replication of Dynamic Web Contents under Flat Update Delivery
IEEE Transactions on Parallel and Distributed Systems
Greedy algorithms for optimizing multivariate Horner schemes
ACM SIGSAM Bulletin
A fast algorithm for identifying good buffer insertion candidate locations
Proceedings of the 2004 international symposium on Physical design
Meldable RAM priority queues and minimum directed spanning trees
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
Detecting short directed cycles using rectangular matrix multiplication and dynamic programming
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
A time efficient Delaunay refinement algorithm
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
An optimal randomized algorithm for maximum Tukey depth
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
A certifying algorithm for the consecutive-ones property
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
Hole and antihole detection in graphs
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
A new approach to all-pairs shortest paths on real-weighted graphs
Theoretical Computer Science - Special issue on automata, languages and programming
TSDLMRA: an efficient multicast routing algorithm based on tabu search
Journal of Network and Computer Applications
Deterministic Majority filters applied to stochastic sorting
ACM-SE 42 Proceedings of the 42nd annual Southeast regional conference
Locating cache proxies in manets
Proceedings of the 5th ACM international symposium on Mobile ad hoc networking and computing
The cost of becoming anonymous: on the participant payload in crowds
Information Processing Letters
The submatrices character count problem: an efficient solution using separable values
Information and Computation
On fault tolerance of 3-dimensional mesh networks
Journal of Computer Science and Technology
Correct-by-construction layout-centric retargeting of large analog designs
Proceedings of the 41st annual Design Automation Conference
Optical proximity correction (OPC): friendly maze routing
Proceedings of the 41st annual Design Automation Conference
Hardware-managed register allocation for embedded processors
Proceedings of the 2004 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Representation of Logical Circuits by Linear Decision Diagrams with Extension to Nanostructures
Automation and Remote Control
Computing large planar regions in terrains, with an application to fracture surfaces
Discrete Applied Mathematics - The 2001 international workshop on combinatorial image analysis (IWCIA 2001)
Smith normal form of dense integer matrices fast algorithms into practice
ISSAC '04 Proceedings of the 2004 international symposium on Symbolic and algebraic computation
A Universal Well-Calibrated Algorithm for On-line Classification
The Journal of Machine Learning Research
Flow sampling under hard resource constraints
Proceedings of the joint international conference on Measurement and modeling of computer systems
Adaptive server selection for large scale interactive online games
NOSSDAV '04 Proceedings of the 14th international workshop on Network and operating systems support for digital audio and video
A fully dynamic reachability algorithm for directed graphs with an almost linear update time
STOC '04 Proceedings of the thirty-sixth annual ACM symposium on Theory of computing
Finding paths and cycles of superpolylogarithmic length
STOC '04 Proceedings of the thirty-sixth annual ACM symposium on Theory of computing
Clustering objects on a spatial network
SIGMOD '04 Proceedings of the 2004 ACM SIGMOD international conference on Management of data
On-the-fly maintenance of series-parallel relationships in fork-join multithreaded programs
Proceedings of the sixteenth annual ACM symposium on Parallelism in algorithms and architectures
A scalable lock-free stack algorithm
Proceedings of the sixteenth annual ACM symposium on Parallelism in algorithms and architectures
Theory and applications of inverting functions as folds
Science of Computer Programming - Special issue on mathematics of program construction (MPC 2002)
Diagonally Subgraphs Pattern Mining
Proceedings of the 9th ACM SIGMOD workshop on Research issues in data mining and knowledge discovery
A Fast Crosstalk- and Performance-Driven Multilevel Routing System
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Off-Loading Memory to the Environment: A Quantitative Example
Minds and Machines
Analyzing the Performance of Generalized Hill Climbing Algorithms
Journal of Heuristics
Domino tilings and related models: space of configurations of domains with holes
Theoretical Computer Science - Combinatorics of the discrete plane and tilings
Scalable mining of large disk-based graph databases
Proceedings of the tenth ACM SIGKDD international conference on Knowledge discovery and data mining
TranGen: a SAT-based ATPG for path-oriented transition faults
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Accurate and efficient flow based congestion estimation in floorplanning
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Scalable dissemination: what's hot and what's not
Proceedings of the 7th International Workshop on the Web and Databases: colocated with ACM SIGMOD/PODS 2004
An Extended Localized Algorithm for Connected Dominating Set Formation in Ad Hoc Wireless Networks
IEEE Transactions on Parallel and Distributed Systems
Reasoning about Rationality and Beliefs
AAMAS '04 Proceedings of the Third International Joint Conference on Autonomous Agents and Multiagent Systems - Volume 2
Separation of Ion Types in Tandem Mass Spectrometry Data Interpretation " A Graph-Theoretic Approach
CSB '04 Proceedings of the 2004 IEEE Computational Systems Bioinformatics Conference
From sequential pattern mining to structured pattern mining: a pattern-growth approach
Journal of Computer Science and Technology
OVSF-CDMA code assignment in wireless ad hoc networks
Proceedings of the 2004 joint workshop on Foundations of mobile computing
Proceedings of the 2004 joint workshop on Foundations of mobile computing
Interactive Ray Tracing on Reconfigurable SIMD MorphoSys
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe: Designers' Forum - Volume 2
Biclustering Algorithms for Biological Data Analysis: A Survey
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Pictorial Structures for Object Recognition
International Journal of Computer Vision
A Dataflow Language for Scriptable Debugging
Proceedings of the 19th IEEE international conference on Automated software engineering
Improving Efficiency and Relevance Ranking in Information Retrieval
WI '04 Proceedings of the 2004 IEEE/WIC/ACM International Conference on Web Intelligence
R*-Histograms: efficient representation of spatial relations between objects of arbitrary topology
Proceedings of the 12th annual ACM international conference on Multimedia
Evaluating motion graphs for character navigation
SCA '04 Proceedings of the 2004 ACM SIGGRAPH/Eurographics symposium on Computer animation
Efficient beacon placement for network tomography
Proceedings of the 4th ACM SIGCOMM conference on Internet measurement
Proceedings of the 17th annual ACM symposium on User interface software and technology
Simulation of large scale networks III: an improved computational algorithm for round-robin service
Proceedings of the 35th conference on Winter simulation: driving innovation
Process improvement: simulations on .Net using Highpoint's HighMAST™ simulation toolkit
Proceedings of the 35th conference on Winter simulation: driving innovation
Efficient location area planning for cellular networks with hierarchical location databases
Computer Networks: The International Journal of Computer and Telecommunications Networking
Trading uninitialized space for time
Information Processing Letters
Efficient detection of motion patterns in spatio-temporal data sets
Proceedings of the 12th annual ACM international workshop on Geographic information systems
Enhanced Interval Trees for Dynamic IP Router-Tables
IEEE Transactions on Computers
Multiple Access Protocol for Power-Controlled Wireless Access Nets
IEEE Transactions on Mobile Computing
Detecting tetrahedralizations of a set of line segments
Journal of Algorithms
Toward Leaner Binary-Clause Reasoning in a Satisfiability Solver
Annals of Mathematics and Artificial Intelligence
Representation and Detection of Deformable Shapes
IEEE Transactions on Pattern Analysis and Machine Intelligence
QoS-aware multicasting in DiffServ domains
ACM SIGCOMM Computer Communication Review
Approximating geometric bottleneck shortest paths
Computational Geometry: Theory and Applications
Journal of Computer and System Sciences - Special issue: STOC 2003
Replication algorithms for the World-Wide Web
Journal of Systems Architecture: the EUROMICRO Journal
Animated visualization of causal relations through growing 2D geometry
Information Visualization - Special issue: Software visualization
Algorithm analysis for returning adult students
Journal of Computing Sciences in Colleges
An internet based algorithm visualization system
Journal of Computing Sciences in Colleges
Sorting in linear time - variations on the bucket sort
Journal of Computing Sciences in Colleges
Types for describing coordinated data structures
TLDI '05 Proceedings of the 2005 ACM SIGPLAN international workshop on Types in languages design and implementation
RL-huffman encoding for test compression and power reduction in scan applications
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Journal of the ACM (JACM)
Algorithmic aspects of topology control problems for ad hoc networks
Mobile Networks and Applications
Data structures for maintaining set partitions
Random Structures & Algorithms
Alternatives to two classic data structures
Proceedings of the 36th SIGCSE technical symposium on Computer science education
Guidelines for Scheduling Some Common Computation-Dags for Internet-Based Computing
IEEE Transactions on Computers
A Quantitative Comparison of Ad Hoc Routing Protocols with and without Channel Adaptation
IEEE Transactions on Mobile Computing
LORD: A Localized, Reactive and Distributed Protocol for Node Scheduling in Wireless Sensor Networks
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
An Accurate SER Estimation Method Based on Propagation Probability
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
A Compiler Analysis of Interprocedural Data Communication
Proceedings of the 2003 ACM/IEEE conference on Supercomputing
Fast parallel molecular solution to the dominating-set problem on massively parallel bio-computing
Parallel Computing - Special issue: High-performance parallel bio-computing
Optimization of in-network data reduction
DMSN '04 Proceeedings of the 1st international workshop on Data management for sensor networks: in conjunction with VLDB 2004
Proceedings of the 2005 symposium on Interactive 3D graphics and games
Video Data Mining: Semantic Indexing and Event Detection from the Association Perspective
IEEE Transactions on Knowledge and Data Engineering
Stabbing the Sky: Efficient Skyline Computation over Sliding Windows
ICDE '05 Proceedings of the 21st International Conference on Data Engineering
On Scheduling Complex Dags for Internet-Based Computing
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers - Volume 01
Predictive Performance Modelling of Parallel Component Composition
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 15 - Volume 16
Addendum to Etude on theme of Dijkstra
ACM SIGACT News
Simple and optimal output-sensitive construction of contour trees using monotone paths
Computational Geometry: Theory and Applications - Special issue on the 19th European workshop on computational geometry - EuroCG 03
Algorithm animation using shape analysis: visualising abstract executions
SoftVis '05 Proceedings of the 2005 ACM symposium on Software visualization
Linear-time modular decomposition of directed graphs
Discrete Applied Mathematics - Structural decompositions, width parameters, and graph labelings (DAS 5)
Linear time algorithms for the ring loading problem with demand splitting
Journal of Algorithms
Persistence barcodes for shapes
Proceedings of the 2004 Eurographics/ACM SIGGRAPH symposium on Geometry processing
Foundations of Security for Hash Chains in Ad Hoc Networks
Cluster Computing
In-Route Nearest Neighbor Queries
Geoinformatica
Semantic Similarity Search on Semistructured Data with the XXL Search Engine
Information Retrieval
Navigation and Multimodal Transportation with EasyTransport
IEEE Intelligent Systems
Information Raining and Optimal Link-Layer Design for Mobile Hotspots
IEEE Transactions on Mobile Computing
A dynamic foundational architecture for semantic web services
Distributed and Parallel Databases
Approximation algorithm for weighted weak vertex cover
Journal of Computer Science and Technology
Fast evaluation of bounded slice-line grid
Journal of Computer Science and Technology
Efficient gathering of correlated data in sensor networks
Proceedings of the 6th ACM international symposium on Mobile ad hoc networking and computing
Minimum energy reliable paths using unreliable wireless links
Proceedings of the 6th ACM international symposium on Mobile ad hoc networking and computing
Stability of persistence diagrams
SCG '05 Proceedings of the twenty-first annual symposium on Computational geometry
The CPR Model for Summarizing Video
Multimedia Tools and Applications
Downloading textual hidden web content through keyword queries
Proceedings of the 5th ACM/IEEE-CS joint conference on Digital libraries
An effective power mode transition technique in MTCMOS circuits
Proceedings of the 42nd annual Design Automation Conference
Constraint-aware robustness insertion for optimal noise-tolerance enhancement in VLSI circuits
Proceedings of the 42nd annual Design Automation Conference
An exact jumper insertion algorithm for antenna effect avoidance/fixing
Proceedings of the 42nd annual Design Automation Conference
Frequency-based code placement for embedded multiprocessors
Proceedings of the 42nd annual Design Automation Conference
Enumerating pseudo-triangulations in the plane
Computational Geometry: Theory and Applications
Mechanism design for software agents with complete information
Decision Support Systems - Special issue: Decision theory and game theory in agent design
Optimized transitive association rule: mining significant stopover between events
Proceedings of the 2005 ACM symposium on Applied computing
On unit task linear-nonlinear two-cluster scheduling problem
Proceedings of the 2005 ACM symposium on Applied computing
Efficient on-line identification of hot data for flash-memory management
Proceedings of the 2005 ACM symposium on Applied computing
Minimum spanning trees made easier via multi-objective optimization
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Network coding: does the model need tuning?
SODA '05 Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms
Minimum Spanning Tree Partitioning Algorithm for Microaggregation
IEEE Transactions on Knowledge and Data Engineering
Integration and Efficient Lookup of Compressed XML Accessibility Maps
IEEE Transactions on Knowledge and Data Engineering
Recursion patterns and time-analysis
ACM SIGPLAN Notices
Parallel scheduling of complex dags under uncertainty
Proceedings of the seventeenth annual ACM symposium on Parallelism in algorithms and architectures
On distributed smooth scheduling
Proceedings of the seventeenth annual ACM symposium on Parallelism in algorithms and architectures
Distributed self-stabilizing placement of replicated resources in emerging networks
IEEE/ACM Transactions on Networking (TON)
Data-Dependency Graph Transformations for Instruction Scheduling
Journal of Scheduling
Fast sparse matrix multiplication
ACM Transactions on Algorithms (TALG)
Energy-aware fetch mechanism: trace cache and BTB customization
ISLPED '05 Proceedings of the 2005 international symposium on Low power electronics and design
Computer Networks and ISDN Systems
Clustered Worst-Case Execution-Time Calculation
IEEE Transactions on Computers
Partition-based logical reasoning for first-order and propositional theories
Artificial Intelligence - Special volume on reformulation
Complexity of the directed spanning cactus problem
Discrete Applied Mathematics
LSDX: a new labelling scheme for dynamically updating XML data
ADC '05 Proceedings of the 16th Australasian database conference - Volume 39
An efficient algorithm for multiagent plan coordination
Proceedings of the fourth international joint conference on Autonomous agents and multiagent systems
Mining compressed frequent-pattern sets
VLDB '05 Proceedings of the 31st international conference on Very large data bases
Indexing multi-dimensional uncertain data with arbitrary probability density functions
VLDB '05 Proceedings of the 31st international conference on Very large data bases
Flash crowd mitigation via adaptive admission control based on application-level observations
ACM Transactions on Internet Technology (TOIT)
Efficient disk replacement and data migration algorithms for large disk subsystems
ACM Transactions on Storage (TOS)
Generalised fine and Wilf's theorem for arbitrary number of periods
Theoretical Computer Science - Combinatorics on words
A survey on tree edit distance and related problems
Theoretical Computer Science
Generalized parallel divide and conquer on 3D mesh and torus
Journal of Systems Architecture: the EUROMICRO Journal
Transparent caching with strong consistency in dynamic content web sites
Proceedings of the 19th annual international conference on Supercomputing
Object-oriented algorithm analysis and design with Java
Science of Computer Programming - Special issue on principles and practice of programming in java (PPPJ 2003)
How (and why) to introduce Monte Carlo randomized algorithms into a basic algorithms course?
Journal of Computing Sciences in Colleges
MSWiM '05 Proceedings of the 8th ACM international symposium on Modeling, analysis and simulation of wireless and mobile systems
Automating tactile graphics translation
Proceedings of the 7th international ACM SIGACCESS conference on Computers and accessibility
An algorithm for computing the restriction scaffold assignment problem in computational biology
Information Processing Letters
A fast orientation and skew detection algorithm for monochromatic document images
Proceedings of the 2005 ACM symposium on Document engineering
TSAR: a two tier sensor storage architecture using interval skip graphs
Proceedings of the 3rd international conference on Embedded networked sensor systems
Consistency maintenance based on the mark & retrace technique in groupware systems
GROUP '05 Proceedings of the 2005 international ACM SIGGROUP conference on Supporting group work
Automatic construction of multifaceted browsing interfaces
Proceedings of the 14th ACM international conference on Information and knowledge management
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Semantic manifold learning for image retrieval
Proceedings of the 13th annual ACM international conference on Multimedia
Automatic measurement of quality metrics for colonoscopy videos
Proceedings of the 13th annual ACM international conference on Multimedia
Teaching quality object-oriented programming
Journal on Educational Resources in Computing (JERIC)
Control flow based obfuscation
Proceedings of the 5th ACM workshop on Digital rights management
Scalable database replication through dynamic multiversioning
CASCON '05 Proceedings of the 2005 conference of the Centre for Advanced Studies on Collaborative research
Bit Reduction Support Vector Machine
ICDM '05 Proceedings of the Fifth IEEE International Conference on Data Mining
The shifted number system for fast linear algebra on integer matrices
Journal of Complexity - Festschrift for the 70th birthday of Arnold Schönhage
A concept analysis inspired greedy algorithm for test suite minimization
PASTE '05 Proceedings of the 6th ACM SIGPLAN-SIGSOFT workshop on Program analysis for software tools and engineering
On the Choice of the Offspring Population Size in Evolutionary Algorithms
Evolutionary Computation
Cache-oblivious dynamic programming
SODA '06 Proceedings of the seventeenth annual ACM-SIAM symposium on Discrete algorithm
Trading off space for passes in graph streaming problems
SODA '06 Proceedings of the seventeenth annual ACM-SIAM symposium on Discrete algorithm
Weighted isotonic regression under the L1 norm
SODA '06 Proceedings of the seventeenth annual ACM-SIAM symposium on Discrete algorithm
Rainbow Sort: Sorting at the Speed of Light
Natural Computing: an international journal
Inferring acceptable arguments with Answer Set Programming
ENC '05 Proceedings of the Sixth Mexican International Conference on Computer Science
Multiple crossdocks with inventory and time windows
Computers and Operations Research
EDUCATIONAL PEARL: Biological sequence similarity
Journal of Functional Programming
A word-to-phrase statistical translation model
ACM Transactions on Speech and Language Processing (TSLP)
New tractable classes for default reasoning from conditional knowledge bases
Annals of Mathematics and Artificial Intelligence
Temperature-aware routing in 3D ICs
ASP-DAC '06 Proceedings of the 2006 Asia and South Pacific Design Automation Conference
Analysis of scratch-pad and data-cache performance using statistical methods
ASP-DAC '06 Proceedings of the 2006 Asia and South Pacific Design Automation Conference
An exact algorithm for the statistical shortest path problem
ASP-DAC '06 Proceedings of the 2006 Asia and South Pacific Design Automation Conference
Identifying synonymous expressions from a bilingual corpus for example-based machine translation
COLING-MTIA '02 Proceedings of the 2002 COLING workshop on Machine translation in Asia - Volume 16
Algorithms for compacting error traces
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
Interactive ray tracing on reconfigurable SIMD MorphoSys
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
Query reformulation using automatically generated query concepts from a document space
Information Processing and Management: an International Journal
Teaching the power of randomization using a simple game
Proceedings of the 37th SIGCSE technical symposium on Computer science education
Model checking discounted temporal properties
Theoretical Computer Science - Tools and algorithms for the construction and analysis of systems (TACAS 2004)
Region Monitoring for Local Phase Detection in Dynamic Optimization Systems
Proceedings of the International Symposium on Code Generation and Optimization
Exploiting distributed version concurrency in a transactional memory cluster
Proceedings of the eleventh ACM SIGPLAN symposium on Principles and practice of parallel programming
Prediction and reduction of routing congestion
Proceedings of the 2006 international symposium on Physical design
Zero cost indexing for improved processor cache performance
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Reliable crosstalk-driven interconnect optimization
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Fusion of AV features and external information sources for event detection in team sports video
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
Clock Skew Scheduling Under Process Variations
ISQED '06 Proceedings of the 7th International Symposium on Quality Electronic Design
Processing Rate Optimization by Sequential System Floorplanning
ISQED '06 Proceedings of the 7th International Symposium on Quality Electronic Design
NP-completeness for all computer science undergraduates: a novel project-based curriculum
Journal of Computing Sciences in Colleges
Pedagogical possibilities for the dice game pig
Journal of Computing Sciences in Colleges
Proceedings of the 5th international conference on Information processing in sensor networks
A digit serial algorithm for the integer power operation
GLSVLSI '06 Proceedings of the 16th ACM Great Lakes symposium on VLSI
Test-Cost Sensitive Classification on Data with Missing Values
IEEE Transactions on Knowledge and Data Engineering
A randomized marking scheme for continuous collision detection in simulation of deformable surfaces
Proceedings of the 2006 ACM international conference on Virtual reality continuum and its applications
A routing algorithm for flip-chip design
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Topology Control in Ad Hoc Wireless Networks Using Cooperative Communication
IEEE Transactions on Mobile Computing
Distance-guided hybrid verification with GUIDO
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Quantitative μ-calculus and CTL defined over constraint semirings
Theoretical Computer Science - Quantitative aspects of programming languages (QAPL 2004)
A simple expected running time analysis for randomized "divide and conquer" algorithms
Discrete Applied Mathematics
Modified repeated median filters
Statistics and Computing
Implementing sorting in database systems
ACM Computing Surveys (CSUR)
Visual editing of animated algorithms: the Leonardo Web builder
Proceedings of the working conference on Advanced visual interfaces
GiveALink: mining a semantic network of bookmarks for web search and recommendation
Proceedings of the 3rd international workshop on Link discovery
Applying regression test selection for COTS-based applications
Proceedings of the 28th international conference on Software engineering
A formal approach to sensor placement and configuration in a network intrusion detection system
Proceedings of the 2006 international workshop on Software engineering for secure systems
I/O-efficient batched union-find and its applications to terrain analysis
Proceedings of the twenty-second annual symposium on Computational geometry
Improved output-sensitive snap rounding
Proceedings of the twenty-second annual symposium on Computational geometry
Efficient identification of hot data for flash memory storage systems
ACM Transactions on Storage (TOS)
Construction of query concepts based on feature clustering of documents
Information Retrieval
Domain-independent data cleaning via analysis of entity-relationship graph
ACM Transactions on Database Systems (TODS)
Bandwidth allocation algorithms for weighted maximum rate constrained link sharing policy
Information Processing Letters
Two flow network simplification algorithms
Information Processing Letters
Fault tolerance of switch blocks and switch block arrays in FPGA
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A new heuristic recursive algorithm for the strip rectangular packing problem
Computers and Operations Research
Semi-matchings for bipartite graphs and load balancing
Journal of Algorithms
Information Preserving Time Decompositions of Time Stamped Documents*
Data Mining and Knowledge Discovery
Learner interaction with algorithm visualizations: viewing vs. changing vs. constructing
Proceedings of the 11th annual SIGCSE conference on Innovation and technology in computer science education
Polynomial time recognition of unit circular-arc graphs
Journal of Algorithms
High-performance server systems and the next generation of online games
IBM Systems Journal
Recursive Wang tiles for real-time blue noise
ACM SIGGRAPH 2006 Papers
Information Processing and Management: an International Journal
Constraint chaining: on energy-efficient continuous monitoring in sensor networks
Proceedings of the 2006 ACM SIGMOD international conference on Management of data
Space-efficient algorithms for computing the convex hull of a simple polygonal line in linear time
Computational Geometry: Theory and Applications
On finding optimal paths in multi-radio, multi-hop mesh networks using WCETT metric
Proceedings of the 2006 international conference on Wireless communications and mobile computing
An analysis of graph cut size for transductive learning
ICML '06 Proceedings of the 23rd international conference on Machine learning
An ant-based algorithm for finding degree-constrained minimum spanning tree
Proceedings of the 8th annual conference on Genetic and evolutionary computation
On the local performance of simulated annealing and the (1+1) evolutionary algorithm
Proceedings of the 8th annual conference on Genetic and evolutionary computation
On the effect of populations in evolutionary multi-objective optimization
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Local search for multiobjective function optimization: pareto descent method
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Information preserving multi-objective feature selection for unsupervised learning
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Biobjective evolutionary and heuristic algorithms for intersection of geometric graphs
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Implementation techniques for fast polynomial arithmetic in a high-level programming environment
Proceedings of the 2006 international symposium on Symbolic and algebraic computation
Progress in computational complexity theory
Journal of Computer Science and Technology
A library of constructive skeletons for sequential style of parallel programming
InfoScale '06 Proceedings of the 1st international conference on Scalable information systems
Online solutions for scalable file server systems
InfoScale '06 Proceedings of the 1st international conference on Scalable information systems
Optimal jumper insertion for antenna avoidance under ratio upper-bound
Proceedings of the 43rd annual Design Automation Conference
Decentralized utility-based sensor network design
Mobile Networks and Applications
Dependency trees in sub-linear time and bounded memory
The VLDB Journal — The International Journal on Very Large Data Bases
Maximizing system lifetime in wireless sensor networks
IPSN '05 Proceedings of the 4th international symposium on Information processing in sensor networks
IPSN '05 Proceedings of the 4th international symposium on Information processing in sensor networks
Split-ordered lists: Lock-free extensible hash tables
Journal of the ACM (JACM)
Towards automatic parallelization of tree reductions in dynamic programming
Proceedings of the eighteenth annual ACM symposium on Parallelism in algorithms and architectures
Faster core-set constructions and data-stream algorithms in fixed dimensions
Computational Geometry: Theory and Applications
Decision Support Systems - Special issue: Intelligence and security informatics
Lower and upper bounds for the mixed capacitated arc routing problem
Computers and Operations Research
Dynamic labeling schemes for ordered XML based on type information
ADC '06 Proceedings of the 17th Australasian Database Conference - Volume 49
A polynomial algorithm for codes based on directed graphs
CATS '06 Proceedings of the 12th Computing: The Australasian Theroy Symposium - Volume 51
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Resource Allocation Framework for Distributed Real-Time End-To-End Tasks
ICPADS '06 Proceedings of the 12th International Conference on Parallel and Distributed Systems - Volume 1
An empirical analysis and comparison of random testing techniques
Proceedings of the 2006 ACM/IEEE international symposium on Empirical software engineering
Towards an automatic complexity analysis for generic programs
Proceedings of the 2006 ACM SIGPLAN workshop on Generic programming
Faster fixed parameter tractable algorithms for finding feedback vertex sets
ACM Transactions on Algorithms (TALG)
Energy-efficient broadcasting in all-wireless networks
Wireless Networks
Strategyproof mechanisms towards dynamic topology formation in autonomous networks
Mobile Networks and Applications
Approximating component selection
WSC '04 Proceedings of the 36th conference on Winter simulation
On approximate learning by multi-layered feedforward circuits
Theoretical Computer Science - Algorithmic learning theory (ALT 2000)
A java library for simulating contact centers
WSC '05 Proceedings of the 37th conference on Winter simulation
Efficient allocation algorithms for OLAP over imprecise data
VLDB '06 Proceedings of the 32nd international conference on Very large data bases
Distance indexing on road networks
VLDB '06 Proceedings of the 32nd international conference on Very large data bases
VLDB '06 Proceedings of the 32nd international conference on Very large data bases
Simple and realistic data generation
VLDB '06 Proceedings of the 32nd international conference on Very large data bases
The p-sized partitioning algorithm for fast computation of factorials of numbers
The Journal of Supercomputing
Application of machine learning methods to route planning and navigation for disabled people
MIC'06 Proceedings of the 25th IASTED international conference on Modeling, indentification, and control
JCPNet tool and automated analysis of distributed systems
Proceedings of the 43rd annual Southeast regional conference - Volume 2
Δ-List vertex coloring in linear time
Information Processing Letters
Distributed metric calibration of ad hoc camera networks
ACM Transactions on Sensor Networks (TOSN)
A framework for aligning and indexing movies with their script
ICME '03 Proceedings of the 2003 International Conference on Multimedia and Expo - Volume 2
TreePlus: Interactive Exploration of Networks with Enhanced Tree Layouts
IEEE Transactions on Visualization and Computer Graphics
Efficient computation of buffer capacities for multi-rate real-time systems with back-pressure
CODES+ISSS '06 Proceedings of the 4th international conference on Hardware/software codesign and system synthesis
Algorithms for Computing Geometric Measures of Melodic Similarity
Computer Music Journal
Efficient distributed deadlock avoidance with liveness guarantees
EMSOFT '06 Proceedings of the 6th ACM & IEEE International conference on Embedded software
Memory models for open-nested transactions
Proceedings of the 2006 workshop on Memory system performance and correctness
A framework for establishing, assessing, and managing trust in inter-organizational relationships
Proceedings of the 3rd ACM workshop on Secure web services
EXE: automatically generating inputs of death
Proceedings of the 13th ACM conference on Computer and communications security
Synchronization of multiple video recordings based on still camera flashes
MULTIMEDIA '06 Proceedings of the 14th annual ACM international conference on Multimedia
Simplifying reasoning about objects with Tako
Proceedings of the 2006 conference on Specification and verification of component-based systems
SMArTIC: towards building an accurate, robust and scalable specification miner
Proceedings of the 14th ACM SIGSOFT international symposium on Foundations of software engineering
Freedom to succeed: a three course introductory sequence using Python and Java
Journal of Computing Sciences in Colleges
A comprehensive problem for algorithm and paradigm visualization
Journal of Computing Sciences in Colleges
Motif Search in Graphs: Application to Metabolic Networks
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Optimal BSPs and rectilinear cartograms
GIS '06 Proceedings of the 14th annual ACM international symposium on Advances in geographic information systems
On-line maintenance of simplified weighted graphs for efficient distance queries
GIS '06 Proceedings of the 14th annual ACM international symposium on Advances in geographic information systems
Inductive bases and their application to searches for minimal unary NFAs
Proceedings of the 44th annual Southeast regional conference
Computer science students' difficulties with proofs by induction: an exploratory study
Proceedings of the 44th annual Southeast regional conference
Image Restoration with Discrete Constrained Total Variation Part I: Fast and Exact Optimization
Journal of Mathematical Imaging and Vision
Block merging for off-line compression
Journal of the American Society for Information Science and Technology
The Web as a graph: How far we are
ACM Transactions on Internet Technology (TOIT)
Relay Node Placement in Wireless Sensor Networks
IEEE Transactions on Computers
Rate Allocation and Admission Control for Differentiated Services in CDMA Data Networks
IEEE Transactions on Mobile Computing
Shape Classification Using the Inner-Distance
IEEE Transactions on Pattern Analysis and Machine Intelligence
The minimum-area spanning tree problem
Computational Geometry: Theory and Applications
ACM Transactions on Algorithms (TALG)
Speed scaling to manage energy and temperature
Journal of the ACM (JACM)
Modeling network intrusion detection alerts for correlation
ACM Transactions on Information and System Security (TISSEC)
A dynamic topological sort algorithm for directed acyclic graphs
Journal of Experimental Algorithmics (JEA)
Data structures and algorithms for simplifying reversible circuits
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Partitioning graphs to speedup Dijkstra's algorithm
Journal of Experimental Algorithmics (JEA)
Post-route LUT output polarity selection for timing optimization
Proceedings of the 2007 ACM/SIGDA 15th international symposium on Field programmable gate arrays
Computational aspects of mining maximal frequent patterns
Theoretical Computer Science
Approximating the minimum weight weak vertex cover
Theoretical Computer Science - Computing and combinatorics
On the role and controllability of persistent clients in traffic aggregates
IEEE/ACM Transactions on Networking (TON)
Network protocol system monitoring: a formal approach with passive testing
IEEE/ACM Transactions on Networking (TON)
A TCAM-based distributed parallel IP lookup scheme and performance analysis
IEEE/ACM Transactions on Networking (TON)
Crowd simulation for emergency response using BDI agent based on virtual reality
Proceedings of the 38th conference on Winter simulation
Simulation-based multi-objective optimization of a real-world scheduling problem
Proceedings of the 38th conference on Winter simulation
On compressing frequent patterns
Data & Knowledge Engineering
Fast mean filtering technique (FMFT)
Pattern Recognition
Strictly chordal graphs are leaf powers
Journal of Discrete Algorithms
Linear time isotonic and unimodal regression in the L1 and L∞ norms
Journal of Discrete Algorithms
Towards developing generation algorithms for text-to-text applications
ACL '05 Proceedings of the 43rd Annual Meeting on Association for Computational Linguistics
ACL-44 Proceedings of the 21st International Conference on Computational Linguistics and the 44th annual meeting of the Association for Computational Linguistics
Robust Hausdorff distance measure for face recognition
Pattern Recognition
A computational model for RNA multiple structural alignment
Theoretical Computer Science
On the longest increasing subsequence of a circular list
Information Processing Letters
Probabilistic analysis on mesh network fault tolerance
Journal of Parallel and Distributed Computing
Approximating a set of points by a step function
Journal of Visual Communication and Image Representation
Maximum transversal in partial Latin squares and rainbow matchings
Discrete Applied Mathematics
Obtaining shorter regular expressions from finite-state automata
Theoretical Computer Science
An automata-theoretic approach to the word problem for ω -terms over R
Theoretical Computer Science
Longest common subsequence problem for unoriented and cyclic strings
Theoretical Computer Science
Efficient query execution on broadcasted index tree structures
Data & Knowledge Engineering
Schema versioning in data warehouses: enabling cross-version querying via schema augmentation
Data & Knowledge Engineering - Special issue: WIDM 2004
The priority curve algorithm for video summarization
Information Systems
A lossless data compression and decompression algorithm and its hardware architecture
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Conflict-free star-access in parallel memory systems
Journal of Parallel and Distributed Computing
Random projection and orthonormality for lossy image compression
Image and Vision Computing
Parallel skeletons for manipulating general trees
Parallel Computing - Algorithmic skeletons
An efficient heuristic for selecting active nodes in wireless sensor networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
HW/SW partitioning using discrete particle swarm
Proceedings of the 17th ACM Great Lakes symposium on VLSI
Physical aware clock skew rescheduling
Proceedings of the 17th ACM Great Lakes symposium on VLSI
Compact and tractable automaton-based representations of time granularities
Theoretical Computer Science
Adaptive work stealing with parallelism feedback
Proceedings of the 12th ACM SIGPLAN symposium on Principles and practice of parallel programming
Ortholog Clustering on a Multipartite Graph
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Predicting Protein-Protein Interactions from Protein Domains Using a Set Cover Approach
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
A review of metrics on permutations for search landscape analysis
Computers and Operations Research
A Constructive semantic characterization of aggregates in answer set programming
Theory and Practice of Logic Programming
Integrating a real-world scheduling problem into the basic algorithms course
Journal of Computing Sciences in Colleges - Papers of the twelfth annual CCSC Northeastern Conference
Dynamic Behavior of Simple Insertion Sort Algorithm
Fundamenta Informaticae - SPECIAL ISSUE ON CONCURRENCY SPECIFICATION AND PROGRAMMING (CS&P 2005) Ruciane-Nide, Poland, 28-30 September 2005
The complexity of non-hierarchical clustering with instance and cluster level constraints
Data Mining and Knowledge Discovery
Bounded model checking of infinite state systems
Formal Methods in System Design
The Journal of Supercomputing
A decomposition approach to multi-vehicle cooperative control
Robotics and Autonomous Systems
An integrated end-to-end QoS anycast routing on DiffServ networks
Computer Communications
Adaptive server selection for large scale interactive online games
Computer Networks: The International Journal of Computer and Telecommunications Networking - Special issue: Networking issues in entertainment computing
Statistical circuit optimization considering device andinterconnect process variations
Proceedings of the 2007 international workshop on System level interconnect prediction
Pattern sensitive placement for manufacturability
Proceedings of the 2007 international symposium on Physical design
Accurate power grid analysis with behavioral transistor network modeling
Proceedings of the 2007 international symposium on Physical design
Efficient obstacle-avoiding rectilinear steiner tree construction
Proceedings of the 2007 international symposium on Physical design
An efficent clustering algorithm for low power clock tree synthesis
Proceedings of the 2007 international symposium on Physical design
Interval-type and affine arithmetic-type techniques for handling uncertainty in expert systems
Journal of Computational and Applied Mathematics - Special issue: Scientific computing, computer arithmetic, and validated numerics (SCAN 2004)
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Fundamenta Informaticae
Efficient algorithms for Web services selection with end-to-end QoS constraints
ACM Transactions on the Web (TWEB)
An external-memory depth-first search algorithm for general grid graphs
Theoretical Computer Science
Current path analysis for electrostatic discharge protection
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Online task-scheduling for fault-tolerant low-energy real-time systems
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Post-routing redundant via insertion and line end extension with via density consideration
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
An efficient signature representation and matching method for mobile devices
WICON '06 Proceedings of the 2nd annual international workshop on Wireless internet
Error resilient GOP structures on video streaming
Journal of Visual Communication and Image Representation
Improving Linkage of Web Pages
INFORMS Journal on Computing
Modeling and performance analysis of ad hoc broadcasting schemes
Performance Evaluation
A network flow approach to the minimum common integer partition problem
Theoretical Computer Science
Lifting a butterfly - A component-based FFT
Scientific Programming - POOSC '01 Workshop
ACM Transactions on Algorithms (TALG)
Area-efficient planar straight-line drawings of outerplanar graphs
Discrete Applied Mathematics
Space-efficient geometric divide-and-conquer algorithms
Computational Geometry: Theory and Applications
Cognitive maps for mobile robots-an object based approach
Robotics and Autonomous Systems
A subexponential algorithm for the coloured tree partition problem
Discrete Applied Mathematics
Linear structure of bipartite permutation graphs and the longest path problem
Information Processing Letters
Efficient implementation of Aho–Corasick pattern matching automata using Unicode
Software—Practice & Experience
New metaheuristic approaches for the edge-weighted k-cardinality tree problem
Computers and Operations Research
A proactive tree recovery mechanism for resilient overlay multicast
IEEE/ACM Transactions on Networking (TON)
Finding a path subject to many additive QoS constraints
IEEE/ACM Transactions on Networking (TON)
A unified evaluation framework for coarse grained reconfigurable array architectures
Proceedings of the 4th international conference on Computing frontiers
Proceedings of the 16th international conference on World Wide Web
Journal of Visual Communication and Image Representation
Smoothed analysis of binary search trees
Theoretical Computer Science
Faster algorithms for finding lowest common ancestors in directed acyclic graphs
Theoretical Computer Science
Dynamic Programming Based Approximation Algorithms for Sequence Alignment with Constraints
INFORMS Journal on Computing
A Zero-Space algorithm for Negative Cost Cycle Detection in networks
Journal of Discrete Algorithms
Automated Fault Localization for C Programs
Electronic Notes in Theoretical Computer Science (ENTCS)
Loop-free constrained path computation for hop-by-hop QoS routing
Computer Networks: The International Journal of Computer and Telecommunications Networking
Approximation algorithms for multiprocessor scheduling under uncertainty
Proceedings of the nineteenth annual ACM symposium on Parallel algorithms and architectures
Refactoring-Aware Configuration Management for Object-Oriented Programs
ICSE '07 Proceedings of the 29th international conference on Software Engineering
More algorithms for all-pairs shortest paths in weighted graphs
Proceedings of the thirty-ninth annual ACM symposium on Theory of computing
Proceedings of the 8th ACM conference on Electronic commerce
Metadata driven memory optimizations in dynamic binary translator
Proceedings of the 3rd international conference on Virtual execution environments
Efficient simulation of critical synchronous dataflow graphs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Quantum Existence Testing and Its Application for Finding Extreme Values in Unsorted Databases
IEEE Transactions on Computers
Mobility Limited Flip-Based Sensor Networks Deployment
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Dynamic Segment Trees for Ranges and Prefixes
IEEE Transactions on Computers
A Radial Adaptation of the Sugiyama Framework for Visualizing Hierarchical Information
IEEE Transactions on Visualization and Computer Graphics
Probe Minimization by Schedule Optimization: Supporting Top-K Queries with Expensive Predicates
IEEE Transactions on Knowledge and Data Engineering
Improving Fault Detection Capability by Selectively Retaining Test Cases during Test Suite Reduction
IEEE Transactions on Software Engineering
On the partial terminal Steiner tree problem
The Journal of Supercomputing
Predictive performance modelling of parallel component compositions
Cluster Computing
Testing and Diagnosis of Realistic Defects in Digital Microfluidic Biochips
Journal of Electronic Testing: Theory and Applications
An optimization algorithm based on chaotic behavior and fractal nature
Journal of Computational and Applied Mathematics
Information Sciences: an International Journal
Design of sequential circuits by quantum-dot cellular automata
Microelectronics Journal
Proceedings of the conference on Design, automation and test in Europe
Analysis of Topology Aggregation techniques for QoS routing
ACM Computing Surveys (CSUR)
Hierarchical Phrase-Based Translation
Computational Linguistics
Programmed Strategies for Program Verification
Electronic Notes in Theoretical Computer Science (ENTCS)
Multi-resolution bitmap indexes for scientific data
ACM Transactions on Database Systems (TODS)
On the power of structural violations in priority queues
CATS '07 Proceedings of the thirteenth Australasian symposium on Theory of computing - Volume 65
Generalized structured programs and loop trees
Science of Computer Programming
Incremental Parametric Development of Greedy Algorithms
Electronic Notes in Theoretical Computer Science (ENTCS)
Elastic reservations for efficient bandwidth utilization in LambdaGrids
Future Generation Computer Systems - Special section: Data mining in grid computing environments
Finding multi-constrained feasible paths by using depth-first search
Wireless Networks
Necklaces, convolutions, and X + Y
ESA'06 Proceedings of the 14th conference on Annual European Symposium - Volume 14
Dynamic programming and fast matrix multiplication
ESA'06 Proceedings of the 14th conference on Annual European Symposium - Volume 14
Region-restricted clustering for geographic data mining
ESA'06 Proceedings of the 14th conference on Annual European Symposium - Volume 14
Hybrid evolutionary algorithms on minimum vertex cover for random graphs
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Approximating covering problems by randomized search heuristics using multi-objective models
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Enhanced forma analysis of permutation problems
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Analysis of evolutionary algorithms for the longest common subsequence problem
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Multiobjective network design for realistic traffic models
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Fast arithmetic for triangular sets: from theory to practice
Proceedings of the 2007 international symposium on Symbolic and algebraic computation
Pruning policies for two-tiered inverted index with correctness guarantee
SIGIR '07 Proceedings of the 30th annual international ACM SIGIR conference on Research and development in information retrieval
Topology-based optimization of maximal sustainable throughput in a latency-insensitive system
Proceedings of the 44th annual Design Automation Conference
Program mapping onto network processors by recursive bipartitioning and refining
Proceedings of the 44th annual Design Automation Conference
A provably good approximation algorithm for power optimization using multiple supply voltages
Proceedings of the 44th annual Design Automation Conference
Multipath routing algorithms for congestion minimization
IEEE/ACM Transactions on Networking (TON)
An efficient regularization approach for underdetermined MIMO system decoding
IWCMC '07 Proceedings of the 2007 international conference on Wireless communications and mobile computing
Theoretical Computer Science
Approximation schemes for a class of subset selection problems
Theoretical Computer Science
On the parallel computation of the biconnected and strongly connected co-components of graphs
Discrete Applied Mathematics
SODA '07 Proceedings of the eighteenth annual ACM-SIAM symposium on Discrete algorithms
Finding a heaviest triangle is not harder than matrix multiplication
SODA '07 Proceedings of the eighteenth annual ACM-SIAM symposium on Discrete algorithms
An analysis framework for mobility metrics in mobile ad hoc networks
EURASIP Journal on Wireless Communications and Networking
Improving disk reuse for reducing power consumption
ISLPED '07 Proceedings of the 2007 international symposium on Low power electronics and design
Lossless abstraction of imperfect information games
Journal of the ACM (JACM)
SEEM: Secure and energy-efficient multipath routing protocol for wireless sensor networks
Computer Communications
AID: A global anti-DoS service
Computer Networks: The International Journal of Computer and Telecommunications Networking
Disseminating dependent data in wireless broadcast environments
Distributed and Parallel Databases
A Formal Analysis of Fault Diagnosis with D-matrices
Journal of Electronic Testing: Theory and Applications
Similarity-aware query allocation in sensor networks with multiple base stations
DMSN '07 Proceedings of the 4th workshop on Data management for sensor networks: in conjunction with 33rd International Conference on Very Large Data Bases
SenseSwarm: a perimeter-based data acquisition framework for mobile sensor networks
DMSN '07 Proceedings of the 4th workshop on Data management for sensor networks: in conjunction with 33rd International Conference on Very Large Data Bases
Trade-offs between mobility and density for coverage in wireless sensor networks
Proceedings of the 13th annual ACM international conference on Mobile computing and networking
A tight bound for online colouring of disk graphs
Theoretical Computer Science
Journal of Parallel and Distributed Computing
Quantization noise shaping on arbitrary frame expansions
EURASIP Journal on Applied Signal Processing
Multiple scale music segmentation using rhythm, timbre, and harmony
EURASIP Journal on Applied Signal Processing
Virtual reality system with integrated sound field simulation and reproduction
EURASIP Journal on Applied Signal Processing
A unified practical approach to stochastic DVS scheduling
EMSOFT '07 Proceedings of the 7th ACM & IEEE international conference on Embedded software
Scheduling multiple independent hard-real-time jobs on a heterogeneous multiprocessor
EMSOFT '07 Proceedings of the 7th ACM & IEEE international conference on Embedded software
GPCE '07 Proceedings of the 6th international conference on Generative programming and component engineering
Skimming rushes video using retake detection
Proceedings of the international workshop on TRECVID video summarization
Discardable data adaptation in scalable video coding
Proceedings of the international workshop on Workshop on mobile video
Semantic-event based analysis and segmentation of wedding ceremony videos
Proceedings of the international workshop on Workshop on multimedia information retrieval
Compiling with continuations, continued
ICFP '07 Proceedings of the 12th ACM SIGPLAN international conference on Functional programming
The fractional weak discrepancy of a partially ordered set
Discrete Applied Mathematics
Evaluation, prediction and reduction of routing congestion
Microelectronics Journal
Theoretical Computer Science
Reliable multipath provisioning for high-capacity backbone mesh networks
IEEE/ACM Transactions on Networking (TON)
Automated tactile graphics translation: in the field
Proceedings of the 9th international ACM SIGACCESS conference on Computers and accessibility
The causes of bloat, the limits of health
Proceedings of the 22nd annual ACM SIGPLAN conference on Object-oriented programming systems and applications
Hybrid method for the chemical master equation
Journal of Computational Physics
Probabilistic transfer matrices in symbolic reliability analysis of logic circuits
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Movement-assisted sensor redeployment scheme for network lifetime increase
Proceedings of the 10th ACM Symposium on Modeling, analysis, and simulation of wireless and mobile systems
The orphan problem in zigbee-based wireless sensor networks
Proceedings of the 10th ACM Symposium on Modeling, analysis, and simulation of wireless and mobile systems
Acyclic type-of-relationship problems on the internet: an experimental analysis
Proceedings of the 7th ACM SIGCOMM conference on Internet measurement
Online linear optimization and adaptive routing
Journal of Computer and System Sciences
High-Performance Reduction Circuits Using Deeply Pipelined Operators on FPGAs
IEEE Transactions on Parallel and Distributed Systems
Querying and Creating Visualizations by Analogy
IEEE Transactions on Visualization and Computer Graphics
MNav: A Markov Model-Based Web Site Navigability Measure
IEEE Transactions on Software Engineering
Minimizing expected energy consumption in real-time systems through dynamic voltage scaling
ACM Transactions on Computer Systems (TOCS)
Equivalence between priority queues and sorting
Journal of the ACM (JACM)
Priority sampling for estimation of arbitrary subset sums
Journal of the ACM (JACM)
A simpler analysis of Burrows–Wheeler-based compression
Theoretical Computer Science
An information-theoretic model for adaptive side-channel attacks
Proceedings of the 14th ACM conference on Computer and communications security
Cache-efficient numerical algorithms using graphics hardware
Parallel Computing
Top-k query evaluation with probabilistic guarantees
VLDB '04 Proceedings of the Thirtieth international conference on Very large data bases - Volume 30
FleDEx: flexible data exchange
Proceedings of the 9th annual ACM international workshop on Web information and data management
Computing Length-Preserved Free Boundary for Quasi-Developable Mesh Segmentation
IEEE Transactions on Visualization and Computer Graphics
Pallino: automation to support regression test selection for cots-based applications
Proceedings of the twenty-second IEEE/ACM international conference on Automated software engineering
Theoretical Computer Science
Exemplar Longest Common Subsequence
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Multi-user data sharing in radar sensor networks
Proceedings of the 5th international conference on Embedded networked sensor systems
WBED'07 Proceedings of the sixth conference on IASTED International Conference Web-Based Education - Volume 2
On the efficient and fast response for sensor deployment in sparse wireless sensor networks
Computer Communications
A survey of lower bounds for satisfiability and related problems
Foundations and Trends® in Theoretical Computer Science
Chain programming over difference constraints
Nordic Journal of Computing
Streaming maximum-minimum filter using no more than three comparisons per element
Nordic Journal of Computing
The computational complexity of choice sets
TARK '07 Proceedings of the 11th conference on Theoretical aspects of rationality and knowledge
Rate-distortion optimized streaming of fine-grained scalable video sequences
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
Efficient gathering of correlated data in sensor networks
ACM Transactions on Sensor Networks (TOSN)
LCS-TRIM: dynamic programming meets XML indexing and querying
VLDB '07 Proceedings of the 33rd international conference on Very large data bases
On dominating your neighborhood profitably
VLDB '07 Proceedings of the 33rd international conference on Very large data bases
Early planning for clock skew scheduling during register binding
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Compatibility path based binding algorithm for interconnect reduction in high level synthesis
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
High-performance routing at the nanometer scale
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
TIP-OPC: a new topological invariant paradigm for pixel based optical proximity correction
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
An optimal randomized algorithm for d-variate zonoid depth
Computational Geometry: Theory and Applications
A logarithmic scheduling algorithm for high speed input-queued switches
Computer Communications
Heap recycling for lazy languages
PEPM '08 Proceedings of the 2008 ACM SIGPLAN symposium on Partial evaluation and semantics-based program manipulation
ACM Transactions on Algorithms (TALG)
A faster and simpler fully dynamic transitive closure
ACM Transactions on Algorithms (TALG)
An improvement of an approach for representation of tree structures in relational tables
CompSysTech '07 Proceedings of the 2007 international conference on Computer systems and technologies
Source code enhancement using reduction of duplicated code
SE'07 Proceedings of the 25th conference on IASTED International Multi-Conference: Software Engineering
IEEE Transactions on Parallel and Distributed Systems
Robust symbolic representation for shape recognition and retrieval
Pattern Recognition
ACM Transactions on Autonomous and Adaptive Systems (TAAS)
Scalable multicasting with network-aware geometric overlay
Computer Communications
Robust symbolic representation for shape recognition and retrieval
Pattern Recognition
Nested parallelism in transactional memory
Proceedings of the 13th ACM SIGPLAN Symposium on Principles and practice of parallel programming
Quantifying ILP by means of graph theory
Proceedings of the 2nd international conference on Performance evaluation methodologies and tools
Optimal routing for end-to-end guarantees: the price of multiplexing
Proceedings of the 2nd international conference on Performance evaluation methodologies and tools
An Algorithmic Toolbox for Network Calculus
Discrete Event Dynamic Systems
Exploring the performance limits of simultaneous multithreading for memory intensive applications
The Journal of Supercomputing
A Distributed Topology Control Algorithm for P2P Based Simulations
DS-RT '07 Proceedings of the 11th IEEE International Symposium on Distributed Simulation and Real-Time Applications
SoftSig: software-exposed hardware signatures for code analysis and optimization
Proceedings of the 13th international conference on Architectural support for programming languages and operating systems
Optimistic parallelism benefits from data partitioning
Proceedings of the 13th international conference on Architectural support for programming languages and operating systems
Efficient simulation of Internet worms
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Miche: Modular Shape Formation by Self-Disassembly
International Journal of Robotics Research
Fast and reliable reconstruction of phylogenetic trees with very short edges
Proceedings of the nineteenth annual ACM-SIAM symposium on Discrete algorithms
Approximating general metric distances between a pattern and a text
Proceedings of the nineteenth annual ACM-SIAM symposium on Discrete algorithms
Journal of Parallel and Distributed Computing
Inferring agent dynamics from social communication network
Proceedings of the 9th WebKDD and 1st SNA-KDD 2007 workshop on Web mining and social network analysis
A recommender system based on local random walks and spectral methods
Proceedings of the 9th WebKDD and 1st SNA-KDD 2007 workshop on Web mining and social network analysis
Information Assurance: Dependability and Security in Networked Systems
Information Assurance: Dependability and Security in Networked Systems
Finite Elements in Analysis and Design
Electronic Notes in Theoretical Computer Science (ENTCS)
Journal of Visual Languages and Computing
A conceptual approach to teaching induction for computer science
Proceedings of the 39th SIGCSE technical symposium on Computer science education
Finding optimal refueling policies: a dynamic programming approach
Journal of Computing Sciences in Colleges
Proof search in Hájek's basic logic
ACM Transactions on Computational Logic (TOCL)
Fast computing reachability labelings for large graphs with high compression rate
EDBT '08 Proceedings of the 11th international conference on Extending database technology: Advances in database technology
Sidewinder: a scalable ILP-based router
Proceedings of the 2008 international workshop on System level interconnect prediction
3-D floorplanning using labeled tree and dual sequences
Proceedings of the 2008 international symposium on Physical design
Optimal post-routing redundant via insertion
Proceedings of the 2008 international symposium on Physical design
Content free clustering for search engine query log
SMO'07 Proceedings of the 7th WSEAS International Conference on Simulation, Modelling and Optimization
An effective automated grading system for HCC in biopsy images
ICCOMP'07 Proceedings of the 11th WSEAS International Conference on Computers
An implementation view on job shop scheduling based on CPM
ICCOMP'07 Proceedings of the 11th WSEAS International Conference on Computers
Beyond topical similarity: a structural similarity measure for retrieving highly similar documents
Knowledge and Information Systems
Traffic architecture motivated Learning Object organisation in a virtual environment
International Journal of Advanced Media and Communication
A fast incremental clock skew scheduling algorithm for slack optimization
Proceedings of the 2008 Asia and South Pacific Design Automation Conference
Proceedings of the 2007 Summer Computer Simulation Conference
A user-oriented reliability modelling approach for web systems
International Journal of Web Engineering and Technology
Using mobile ad hoc networks to acquire digital evidence from remote autonomous agents
International Journal of Security and Networks
Efficient and robust query processing for mobile wireless sensor networks
International Journal of Sensor Networks
Integrated code generation by using fuzzy control system
SCOPES '08 Proceedings of the 11th international workshop on Software & compilers for embedded systems
Predict task running time in grid environments based on CPU load predictions
Future Generation Computer Systems
Two Dimensional Aggregation Procedure: An Alternative to the Matrix Algebraic Algorithm
Computational Economics
Matching Heterogeneous Traders in Quantity-Regulated Markets
Computational Economics
Cooperative caching techniques for continuous media in wireless home networks
Proceedings of the 1st international conference on Ambient media and systems
Theory and implementation of path planning by negotiation for decentralized agents
Robotics and Autonomous Systems
PHALANX: a graph-theoretic framework for test case prioritization
Proceedings of the 2008 ACM symposium on Applied computing
A graph-based profile similarity calculation method for collaborative information retrieval
Proceedings of the 2008 ACM symposium on Applied computing
Internet routing resilience to failures: analysis and implications
CoNEXT '07 Proceedings of the 2007 ACM CoNEXT conference
Improving I/O performance of applications through compiler-directed code restructuring
FAST'08 Proceedings of the 6th USENIX Conference on File and Storage Technologies
Surface matching using consistent pants decomposition
Proceedings of the 2008 ACM symposium on Solid and physical modeling
Optimizing deployment of Internet gateway in Wireless Mesh Networks
Computer Communications
A channel assignment algorithm for multi-radio wireless mesh networks
Computer Communications
On multicast routing in wireless mesh networks
Computer Communications
Dynamic bottleneck optimization for k-edge and 2-vertex connectivity
Information Processing Letters
A clustering-based prefetching scheme on a Web cache environment
Computers and Electrical Engineering
New algorithms for text fingerprinting
Journal of Discrete Algorithms
Adaptive traffic road sign panels text extraction
ISPRA'06 Proceedings of the 5th WSEAS International Conference on Signal Processing, Robotics and Automation
Path Compression in Timed Automata
Fundamenta Informaticae - Special Issue on Concurrency Specification and Programming (CS&P)
ACM Transactions on Database Systems (TODS)
Principles of a reversible programming language
Proceedings of the 5th conference on Computing frontiers
Outfix-Free Regular Languages and Prime Outfix-Free Decomposition
Fundamenta Informaticae
ACM SIGEVOlution
Multiprocessor systems synthesis for multiple use-cases of multiple applications on FPGA
ACM Transactions on Design Automation of Electronic Systems (TODAES)
iRobot: an intelligent crawler for web forums
Proceedings of the 17th international conference on World Wide Web
MokE: a tool for Mobile-ok evaluation of web content
W4A '08 Proceedings of the 2008 international cross-disciplinary conference on Web accessibility (W4A)
Clone detection in automotive model-based development
Proceedings of the 30th international conference on Software engineering
Optimized multiple wavetable interpolation
ISPRA'05 Proceedings of the 4th WSEAS International Conference on Signal Processing, Robotics and Automation
Scheduling time-bounded dynamic software adaptation
Proceedings of the 2008 international workshop on Software engineering for adaptive and self-managing systems
Modeling and Verifying Time Sensitive Security Protocols with Constraints
Electronic Notes in Theoretical Computer Science (ENTCS)
Time-constrained loop scheduling with minimal resources
Journal of Embedded Computing - Embeded Processors and Systems: Architectural Issues and Solutions for Emerging Applications
Load balancing in large-scale RFID systems
Computer Networks: The International Journal of Computer and Telecommunications Networking
Optimal Algorithms for the Interval Location Problem with Range Constraints on Length and Average
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Joint Routing and 2D Transform Optimization for Irregular Sensor Network Grids Using Wavelet Lifting
IPSN '08 Proceedings of the 7th international conference on Information processing in sensor networks
Distributed algorithms for secure multipath routing in attack-resistant networks
IEEE/ACM Transactions on Networking (TON)
On the complexity of Katamari Damacy
Crossroads
Construction algorithms for k-connected m-dominating sets in wireless sensor networks
Proceedings of the 9th ACM international symposium on Mobile ad hoc networking and computing
Minimum-latency gossiping in multi-hop wireless networks
Proceedings of the 9th ACM international symposium on Mobile ad hoc networking and computing
Proceedings of the 9th ACM international symposium on Mobile ad hoc networking and computing
Strong barrier coverage of wireless sensor networks
Proceedings of the 9th ACM international symposium on Mobile ad hoc networking and computing
Power efficient resilience and lifetime in wireless ad-hoc networks
Proceedings of the 1st ACM international workshop on Foundations of wireless ad hoc and sensor networking and computing
Energy-efficient connected-coverage in wireless sensor networks
International Journal of Sensor Networks
Efficient algorithms for counting parameterized list H-colorings
Journal of Computer and System Sciences
A compressed self-index using a Ziv---Lempel dictionary
Information Retrieval
On the resolution and optimization of a system of fuzzy relational equations with sup-T composition
Fuzzy Optimization and Decision Making
Combining computation and data transmission in the systems with switches
Automation and Remote Control
Implicitly heterogeneous multi-stage programming
New Generation Computing
Cooperative caching in mobile ad hoc networks based on data utility
Mobile Information Systems
Relational joins on graphics processors
Proceedings of the 2008 ACM SIGMOD international conference on Management of data
Efficient algorithms for exact ranked twig-pattern matching over graphs
Proceedings of the 2008 ACM SIGMOD international conference on Management of data
Supporting OLAP operations over imperfectly integrated taxonomies
Proceedings of the 2008 ACM SIGMOD international conference on Management of data
A piggybacking approach to reduce overhead in sensor network gossiping
Proceedings of the 2nd international workshop on Middleware for sensor networks
Description and analysis of a bottom-up DFA minimization algorithm
Information Processing Letters
A linearly convergent method for broadcast data allocation
Computers & Mathematics with Applications
A non-linear dimension reduction methodology for generating data-driven stochastic input models
Journal of Computational Physics
Design, implementation and run-time evolution of a mission-based multiagent system
Web Intelligence and Agent Systems
Multi-party communication and information-need anticipation by experience
Web Intelligence and Agent Systems
Proceedings of the 13th ACM symposium on Access control models and technologies
Optimizing overlay topology by reducing cut vertices
Proceedings of the 2006 international workshop on Network and operating systems support for digital audio and video
Optimal speedup on a low-degree multi-core parallel architecture (LoPRAM)
Proceedings of the twentieth annual symposium on Parallelism in algorithms and architectures
Cache-efficient dynamic programming algorithms for multicores
Proceedings of the twentieth annual symposium on Parallelism in algorithms and architectures
Improved approximations for multiprocessor scheduling under uncertainty
Proceedings of the twentieth annual symposium on Parallelism in algorithms and architectures
A genetic algorithm for joint replenishment based on the exact inventory cost
Computers and Operations Research
Energy-efficient geographic routing with virtual anchors based on projection distance
Computer Communications
Robust and efficient multiclass SVM models for phrase pattern recognition
Pattern Recognition
A new class of nature-inspired algorithms for self-adaptive peer-to-peer computing
ACM Transactions on Autonomous and Adaptive Systems (TAAS)
A Network Architecture with High Availability for Real-time Premium Traffic over the Internet
Journal of Network and Systems Management
Output-sensitive algorithms for Tukey depth and related problems
Statistics and Computing
Approximation algorithms for forests augmentation ensuring two disjoint paths of bounded length
Theoretical Computer Science
Connected K-target coverage problem in wireless sensor networks with different observation scenarios
Computer Networks: The International Journal of Computer and Telecommunications Networking
Reductive thinking in a quantitative perspective: the case of the algorithm course
Proceedings of the 13th annual conference on Innovation and technology in computer science education
A combinatorial approach to multi-domain sketch recognition
SBIM '07 Proceedings of the 4th Eurographics workshop on Sketch-based interfaces and modeling
Efficient context management in context-aware environments
Proceedings of the 3rd international conference on Mobile multimedia communications
PERT: a new power-efficient real-time packet delivery scheme for sensor networks
International Journal of Sensor Networks
Property matching and weighted matching
Theoretical Computer Science
Event dissemination via group-aware stream filtering
Proceedings of the second international conference on Distributed event-based systems
Top-k/w publish/subscribe: finding k most relevant publications in sliding time window w
Proceedings of the second international conference on Distributed event-based systems
Availability models for underlay aware overlay networks
Proceedings of the second international conference on Distributed event-based systems
Correlated pattern mining in quantitative databases
ACM Transactions on Database Systems (TODS)
Characterizing botnets from email spam records
LEET'08 Proceedings of the 1st Usenix Workshop on Large-Scale Exploits and Emergent Threats
Crossover can provably be useful in evolutionary computation
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Computing minimum cuts by randomized search heuristics
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Memetic algorithms with variable-depth search to overcome local optima
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Simulated annealing, its parameter settings and the longest common subsequence problem
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Comparing global and local mutations on bit strings
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Proceedings of the 10th annual conference on Genetic and evolutionary computation
On the limits of cache-oblivious rational permutations
Theoretical Computer Science
Computers & Mathematics with Applications
Efficient projections onto the l1-ball for learning in high dimensions
Proceedings of the 25th international conference on Machine learning
Effective and efficient user interaction for long queries
Proceedings of the 31st annual international ACM SIGIR conference on Research and development in information retrieval
Customization change impact analysis for erp professionals via program slicing
ISSTA '08 Proceedings of the 2008 international symposium on Software testing and analysis
Algorithms and data structures for external memory
Foundations and Trends® in Theoretical Computer Science
Sparse matrix computations on manycore GPU's
Proceedings of the 45th annual Design Automation Conference
Broadcast electrode-addressing for pin-constrained multi-functional digital microfluidic biochips
Proceedings of the 45th annual Design Automation Conference
Simulation-based verification using Temporally Attributed Boolean Logic
ACM Transactions on Design Automation of Electronic Systems (TODAES)
An empirical analysis of algorithms for partially Clairvoyant scheduling
International Journal of Parallel, Emergent and Distributed Systems
Red-blue covering problems and the consecutive ones property
Journal of Discrete Algorithms
Discovering correlated spatio-temporal changes in evolving graphs
Knowledge and Information Systems
Adaptive work-stealing with parallelism feedback
ACM Transactions on Computer Systems (TOCS)
Faster algorithms for construction of recovery trees enhancing QoP and QoS
IEEE/ACM Transactions on Networking (TON)
Polynomial time approximation algorithms for multi-constrained QoS routing
IEEE/ACM Transactions on Networking (TON)
Computationally-efficient winner determination for mixed multi-unit combinatorial auctions
Proceedings of the 7th international joint conference on Autonomous agents and multiagent systems - Volume 2
The Practical Efficiency of Convolutions in Pattern Matching Algorithms
Fundamenta Informaticae - Workshop on Combinatorial Algorithms
Research note: On the assessment of input streams for incremental network computing
Journal of Parallel and Distributed Computing
An information-theoretic approach to quantitative association rule mining
Knowledge and Information Systems
Edge overlay multicast to support comparable multi-class services
Journal of High Speed Networks
Private Information: To Reveal or not to Reveal
ACM Transactions on Information and System Security (TISSEC)
Improving density-based methods for hierarchical clustering of web pages
Data & Knowledge Engineering
On space constrained set selection problems
Data & Knowledge Engineering
Static space–times naturally lead to quasi-pseudometrics
Theoretical Computer Science
The chilling descent: making the transition to a conventional curriculum
Proceedings of the 2008 international workshop on Functional and declarative programming in education
Fast parallel Particle-To-Grid interpolation for plasma PIC simulations on the GPU
Journal of Parallel and Distributed Computing
A novel migration-based NUCA design for chip multiprocessors
Proceedings of the 2008 ACM/IEEE conference on Supercomputing
Channel assignment and link scheduling in multi-radio multi-channel wireless mesh networks
Mobile Networks and Applications
Battery-aware scheduling inwireless mesh networks
Mobile Networks and Applications
All-pairs shortest-paths for large graphs on the GPU
Proceedings of the 23rd ACM SIGGRAPH/EUROGRAPHICS symposium on Graphics hardware
Proceedings of the 23rd ACM SIGGRAPH/EUROGRAPHICS symposium on Graphics hardware
Efficient non-myopic value-of-information computation for influence diagrams
International Journal of Approximate Reasoning
SEPADS'08 Proceedings of the 7th WSEAS International Conference on Software Engineering, Parallel and Distributed Systems
Programming and Computing Software
A Simple Algorithm for Stable Minimum Storage Merging
SOFSEM '07 Proceedings of the 33rd conference on Current Trends in Theory and Practice of Computer Science
Efficient Implementation of Tree Accumulations on Distributed-Memory Parallel Computers
ICCS '07 Proceedings of the 7th international conference on Computational Science, Part II
Resource Restricted Computability Theoretic Learning: Illustrative Topics and Problems
CiE '07 Proceedings of the 3rd conference on Computability in Europe: Computation and Logic in the Real World
Minimum Spanning Tree with Neighborhoods
AAIM '07 Proceedings of the 3rd international conference on Algorithmic Aspects in Information and Management
IBGP Confederation Provisioning
AIMS '07 Proceedings of the 1st international conference on Autonomous Infrastructure, Management and Security: Inter-Domain Management
A Graph Theoretic Approach to Protein Structure Selection
WILF '07 Proceedings of the 7th international workshop on Fuzzy Logic and Applications: Applications of Fuzzy Sets Theory
Real-Time Trajectory Generation for Mobile Robots
AI*IA '07 Proceedings of the 10th Congress of the Italian Association for Artificial Intelligence on AI*IA 2007: Artificial Intelligence and Human-Oriented Computing
The GPS Identification Scheme Using Frobenius Expansions
Research in Cryptology
Nonlinear Coordinate Unfolding Via Principal Curve Projections with Application to Nonlinear BSS
Neural Information Processing
Finding Optimal Refueling Policies in Transportation Networks
AAIM '08 Proceedings of the 4th international conference on Algorithmic Aspects in Information and Management
Coordination in Disaster Management and Response: A Unified Approach
Massively Multi-Agent Technology
Theory of Real Computation According to EGC
Reliable Implementation of Real Number Algorithms: Theory and Practice
Optimal Field Splitting, with Applications in Intensity-Modulated Radiation Therapy
FAW '08 Proceedings of the 2nd annual international workshop on Frontiers in Algorithmics
Query Planning for Searching Inter-dependent Deep-Web Databases
SSDBM '08 Proceedings of the 20th international conference on Scientific and Statistical Database Management
Quality-Aware Probing of Uncertain Data with Resource Constraints
SSDBM '08 Proceedings of the 20th international conference on Scientific and Statistical Database Management
Network Stability Assessment Using the Number of Tree Adjacent to an Articulation Node
ICCSA '08 Proceedings of the international conference on Computational Science and Its Applications, Part II
Comparing Two Models for Terrorist Group Detection: GDM or OGDM?
PAISI, PACCF and SOCO '08 Proceedings of the IEEE ISI 2008 PAISI, PACCF, and SOCO international workshops on Intelligence and Security Informatics
COCOON '08 Proceedings of the 14th annual international conference on Computing and Combinatorics
Ant Focused Crawling Algorithm
ICAISC '08 Proceedings of the 9th international conference on Artificial Intelligence and Soft Computing
A Fast and Efficient Algorithm for Topology-Aware Coallocation
ICCS '08 Proceedings of the 8th international conference on Computational Science, Part I
Interactive Learning of Data Structures and Algorithmic Schemes
ICCS '08 Proceedings of the 8th international conference on Computational Science, Part I
Search of Weighted Subgraphs on Complex Networks with Maximum Likelihood Methods
ICCS '08 Proceedings of the 8th international conference on Computational Science, Part II
A Path Cover Technique for LCAs in Dags
SWAT '08 Proceedings of the 11th Scandinavian workshop on Algorithm Theory
Distributed Disaster Disclosure
SWAT '08 Proceedings of the 11th Scandinavian workshop on Algorithm Theory
CIAA '08 Proceedings of the 13th international conference on Implementation and Applications of Automata
New Efficient Attacks on Statistical Disclosure Control Mechanisms
CRYPTO 2008 Proceedings of the 28th Annual conference on Cryptology: Advances in Cryptology
Inclusion Test Algorithms for One-Unambiguous Regular Expressions
Proceedings of the 5th international colloquium on Theoretical Aspects of Computing
Clock Synchronization in Cell BE Traces
Euro-Par '08 Proceedings of the 14th international Euro-Par conference on Parallel Processing
Ultrafast Digital-Optical Arithmetic Using Wave-Optical Computing
OSC '08 Proceedings of the 1st international workshop on Optical SuperComputing
Electro-Optical DSP of Tera Operations per Second and Beyond (Extended Abstract)
OSC '08 Proceedings of the 1st international workshop on Optical SuperComputing
Tracking Moving Objects in Anonymized Trajectories
DEXA '08 Proceedings of the 19th international conference on Database and Expert Systems Applications
Stabbing Convex Polygons with a Segment or a Polygon
ESA '08 Proceedings of the 16th annual European symposium on Algorithms
Synchronizing Automata and the Černý Conjecture
Language and Automata Theory and Applications
Request Satisfaction Problem in Synchronous Radio Networks
ADHOC-NOW '08 Proceedings of the 7th international conference on Ad-hoc, Mobile and Wireless Networks
Flow-Based Propagators for the SEQUENCE and Related Global Constraints
CP '08 Proceedings of the 14th international conference on Principles and Practice of Constraint Programming
Length-Lex Bounds Consistency for Knapsack Constraints
CP '08 Proceedings of the 14th international conference on Principles and Practice of Constraint Programming
DISC '08 Proceedings of the 22nd international symposium on Distributed Computing
ISC '08 Proceedings of the 11th international conference on Information Security
ICIC '08 Proceedings of the 4th international conference on Intelligent Computing: Advanced Intelligent Computing Theories and Applications - with Aspects of Artificial Intelligence
Visualizing and Evaluating Complexity of Textual Case Bases
ECCBR '08 Proceedings of the 9th European conference on Advances in Case-Based Reasoning
ASAP- An Advanced System for Assessing Chat Participants
AIMSA '08 Proceedings of the 13th international conference on Artificial Intelligence: Methodology, Systems, and Applications
Dynamic Modeling in Inductive Inference
ALT '08 Proceedings of the 19th international conference on Algorithmic Learning Theory
Finding the Growth Rate of a Regular of Context-Free Language in Polynomial Time
DLT '08 Proceedings of the 12th international conference on Developments in Language Theory
RECOMB-CG '08 Proceedings of the international workshop on Comparative Genomics
A Runtime Constraint-Aware Solution for Automated Refinement of IT Change Plans
DSOM '08 Proceedings of the 19th IFIP/IEEE international workshop on Distributed Systems: Operations and Management: Managing Large-Scale Service Deployment
Load-Balanced IP Fast Failure Recovery
IPOM '08 Proceedings of the 8th IEEE international workshop on IP Operations and Management
Using Indexed Finite Set Variables for Set Bounds Propagation
IBERAMIA '08 Proceedings of the 11th Ibero-American conference on AI: Advances in Artificial Intelligence
An approximation algorithm for sequential rectangle placement
Information Processing Letters
Information Processing Letters
Permutation algorithms on optical multi-trees
Computers & Mathematics with Applications
Improved algorithms for feedback vertex set problems
Journal of Computer and System Sciences
Resource conflict detection in simulation of function unit pipelines
Journal of Systems Architecture: the EUROMICRO Journal
On the minimum common integer partition problem
ACM Transactions on Algorithms (TALG)
ACM Transactions on Algorithms (TALG)
Reconstruction of 3D Structures From Protein Contact Maps
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Synthesis of heterogeneous pipelined multiprocessor systems using ILP: jpeg case study
CODES+ISSS '08 Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis
Dynamic tuning of configurable architectures: the AWW online algorithm
CODES+ISSS '08 Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis
An efficient non-dominated sorting method for evolutionary algorithms
Evolutionary Computation
Main-memory triangle computations for very large (sparse (power-law)) graphs
Theoretical Computer Science
Aliased register allocation for straight-line programs is NP-complete
Theoretical Computer Science
Regionalization with dynamically constrained agglomerative clustering and partitioning (REDCAP)
International Journal of Geographical Information Science
Process-oriented organisation modelling and analysis
Enterprise Information Systems - Contains Special Issue: Modelling, Simulation, Verification and Validation of Enterprise Information Systems, edited by Juan Carlos Augusto and Marc Roper
The VLDB Journal — The International Journal on Very Large Data Bases
Adapting information retrieval systems to user queries
Information Processing and Management: an International Journal
Decision problem for shuffled genes
Information and Computation
Optimal routing for end-to-end guarantees using Network Calculus
Performance Evaluation
Forma analysis of particle swarm optimisation for permutation problems
Journal of Artificial Evolution and Applications - Particle Swarms: The Second Decade
Integration of explicit effective-bandwidth-based QoS routing with best-effort routing
IEEE/ACM Transactions on Networking (TON)
Achieving 100% throughput in reconfigurable optical networks
IEEE/ACM Transactions on Networking (TON)
Competitive analysis of online traffic grooming in WDM rings
IEEE/ACM Transactions on Networking (TON)
Taming verification hardness: an efficient algorithm for testing subgraph isomorphism
Proceedings of the VLDB Endowment
Cleaning uncertain data with quality guarantees
Proceedings of the VLDB Endowment
Sorting hierarchical data in external memory for archiving
Proceedings of the VLDB Endowment
Don't care words with an application to the automata-based approach for real addition
Formal Methods in System Design
Broadcast routing based on new link cost model for ad-hoc networks
Proceedings of the 5th ACM symposium on Performance evaluation of wireless ad hoc, sensor, and ubiquitous networks
Shape from inconsistent silhouette
Computer Vision and Image Understanding
EXE: Automatically Generating Inputs of Death
ACM Transactions on Information and System Security (TISSEC)
Proceedings of the 15th ACM conference on Computer and communications security
Removal of digitization errors in fingerprint ridgelines using B-splines
Pattern Recognition
On construction of minimum energy k-fault resistant topologies
Ad Hoc Networks
On the coverings of the d-cube for d≤6
Discrete Applied Mathematics
Topological sweep of the complete graph
Discrete Applied Mathematics
Optimal IDS Sensor Placement and Alert Prioritization Using Attack Graphs
Journal of Network and Systems Management
An adaptive partitioning approach for mining discriminant regions in 3D image data
Journal of Intelligent Information Systems
Region-restricted clustering for geographic data mining
Computational Geometry: Theory and Applications
A new framework for the selection of tag SNPs by multimarker haplotypes
Journal of Biomedical Informatics
DFS Based Partial Pathways in GA for Protein Structure Prediction
PRIB '08 Proceedings of the Third IAPR International Conference on Pattern Recognition in Bioinformatics
Automated planners for storage provisioning and disaster recovery
IBM Journal of Research and Development
Studying (non-planar) road networks through an algorithmic lens
Proceedings of the 16th ACM SIGSPATIAL international conference on Advances in geographic information systems
Two-site Voronoi diagrams in geographic networks
Proceedings of the 16th ACM SIGSPATIAL international conference on Advances in geographic information systems
Complexity of question/answer games
Theoretical Computer Science
Deadline guaranteed packet scheduling for overloaded traffic in input-queued switches
Theoretical Computer Science
Improved approximation of the largest common subtree of two unordered trees of bounded height
Information Processing Letters
Cache-oblivious selection in sorted X+Y matrices
Information Processing Letters
Minimum decomposition of a digital surface into digital plane segments is NP-hard
Discrete Applied Mathematics
The labeled maximum matching problem
Computers and Operations Research
Automatic generation of test specifications for coverage of system state transitions
Information and Software Technology
Performance analysis of allocation policies for interGrid resource provisioning
Information and Software Technology
Edge based parameterization for tubular meshes
VRCAI '08 Proceedings of The 7th ACM SIGGRAPH International Conference on Virtual-Reality Continuum and Its Applications in Industry
Acceleration of decision tree searching for IP traffic classification
Proceedings of the 4th ACM/IEEE Symposium on Architectures for Networking and Communications Systems
Online Balancing Two Independent Criteria
NPC '08 Proceedings of the IFIP International Conference on Network and Parallel Computing
CTL Model-Checking with Graded Quantifiers
ATVA '08 Proceedings of the 6th International Symposium on Automated Technology for Verification and Analysis
Interpolants for Linear Arithmetic in SMT
ATVA '08 Proceedings of the 6th International Symposium on Automated Technology for Verification and Analysis
Network load-aware content distribution in overlay networks
Computer Communications
SecGRID: model for maintaining trust in large-scale dynamic environments
International Journal of Grid and Utility Computing
A simple performance analysis of RFID networks with binary tree collision arbitration
International Journal of Sensor Networks
Computing leximin-optimal solutions in constraint networks
Artificial Intelligence
A simple graphical approach for understanding probabilistic inference in Bayesian networks
Information Sciences: an International Journal
Reduction in CS: A (Mostly) Quantitative Analysis of Reductive Solutions to Algorithmic Problems
Journal on Educational Resources in Computing (JERIC)
MICAI '08 Proceedings of the 7th Mexican International Conference on Artificial Intelligence: Advances in Artificial Intelligence
Generalizing Global Constraints Based on Network Flows
Recent Advances in Constraints
Reducing Energy Consumption through the Union of Disjoint Set Forests Algorithm in Sensor Networks
Information Networking. Towards Ubiquitous Networking and Services
CONTOUR: an efficient algorithm for discovering discriminating subsequences
Data Mining and Knowledge Discovery
Transactions on Petri Nets and Other Models of Concurrency I
Generation of Unit-Width Curve Skeletons Based on Valence Driven Spatial Median (VDSM)
ISVC '08 Proceedings of the 4th International Symposium on Advances in Visual Computing
Symmetry of Shapes Via Self-similarity
ISVC '08 Proceedings of the 4th International Symposium on Advances in Visual Computing, Part II
A Design of Automatic Visualizations for Divide-and-Conquer Algorithms
Electronic Notes in Theoretical Computer Science (ENTCS)
Electronic Notes in Theoretical Computer Science (ENTCS)
Efficient Algorithms for the Computational Design of Optimal Tiling Arrays
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Designing and scaling distributed VoD servers
Multimedia Tools and Applications
Verification and semantic parallelization of goal-driven autonomous software
Autonomics '08 Proceedings of the 2nd International Conference on Autonomic Computing and Communication Systems
ENAVis: enterprise network activities visualization
LISA'08 Proceedings of the 22nd conference on Large installation system administration conference
EVT'08 Proceedings of the conference on Electronic voting technology
Linear-time algorithms for geometric graphs with sublinearly many crossings
SODA '09 Proceedings of the twentieth Annual ACM-SIAM Symposium on Discrete Algorithms
A simpler implementation and analysis of Chazelle's soft heaps
SODA '09 Proceedings of the twentieth Annual ACM-SIAM Symposium on Discrete Algorithms
On the bit-complexity of Lempel-Ziv compression
SODA '09 Proceedings of the twentieth Annual ACM-SIAM Symposium on Discrete Algorithms
Discounted deterministic Markov decision processes and discounted all-pairs shortest paths
SODA '09 Proceedings of the twentieth Annual ACM-SIAM Symposium on Discrete Algorithms
A new approach to incremental topological ordering
SODA '09 Proceedings of the twentieth Annual ACM-SIAM Symposium on Discrete Algorithms
Stream sampling for variance-optimal estimation of subset sums
SODA '09 Proceedings of the twentieth Annual ACM-SIAM Symposium on Discrete Algorithms
Universality Analysis for One-Clock Timed Automata
Fundamenta Informaticae - Fundamentals of Software Engineering 2007: Selected Contributions
A Logical Reasoning Approach to Automatic Composition of Stateless Components
Fundamenta Informaticae - Fundamentals of Software Engineering 2007: Selected Contributions
AuthoCast: a protocol for mobile multicast sender authentication
Proceedings of the 6th International Conference on Advances in Mobile Computing and Multimedia
Temporal evolution of social networks in Paltalk™
Proceedings of the 10th International Conference on Information Integration and Web-based Applications & Services
Analysis of test suite reduction with enhanced tie-breaking techniques
Information and Software Technology
Ranking functions for size-change termination
ACM Transactions on Programming Languages and Systems (TOPLAS)
Data Compression for Proof Replay
Journal of Automated Reasoning
Faster pattern matching with character classes using prime number encoding
Journal of Computer and System Sciences
Techniques for Design and Implementation of Secure Reconfigurable PUFs
ACM Transactions on Reconfigurable Technology and Systems (TRETS)
The gene evolution model and computing its associated probabilities
Journal of the ACM (JACM)
Algorithms for connected set cover problem and fault-tolerant connected set cover problem
Theoretical Computer Science
On the pseudo-achromatic number problem
Theoretical Computer Science
On comparing two sequences of numbers and its applications to clustering analysis
Information Sciences: an International Journal
Journal of Parallel and Distributed Computing
How much parallelism is there in irregular applications?
Proceedings of the 14th ACM SIGPLAN symposium on Principles and practice of parallel programming
On the Pseudo-achromatic Number Problem
Graph-Theoretic Concepts in Computer Science
Computational Aspects of a 2-Player Stackelberg Shortest Paths Tree Game
WINE '08 Proceedings of the 4th International Workshop on Internet and Network Economics
Target Counting under Minimal Sensing: Complexity and Approximations
Algorithmic Aspects of Wireless Sensor Networks
Ant Colony Optimization and the Minimum Spanning Tree Problem
Learning and Intelligent Optimization
PSIVT '09 Proceedings of the 3rd Pacific Rim Symposium on Advances in Image and Video Technology
High Level Thread-Based Competitive Or-Parallelism in Logtalk
PADL '09 Proceedings of the 11th International Symposium on Practical Aspects of Declarative Languages
Cyclic Leveling of Directed Graphs
Graph Drawing
A Fully Dynamic Graph Algorithm for Recognizing Proper Interval Graphs
WALCOM '09 Proceedings of the 3rd International Workshop on Algorithms and Computation
Computers and Electrical Engineering
Enhanced authentication scheme with anonymity for roaming service in global mobility networks
Computer Communications
Semantic partitioning of peer-to-peer search space
Computer Communications
Bayesian classifiers based on kernel density estimation: Flexible classifiers
International Journal of Approximate Reasoning
The consequence relation in the logic of commutative GBL-algebras is PSPACE-complete
Theoretical Computer Science
A novel minloop SB design to improve FPGA routability
Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays
Proceedings of the 14th international conference on Architectural support for programming languages and operating systems
Semantics and implementation of continuous sliding window queries over data streams
ACM Transactions on Database Systems (TODS)
Analyzing programming projects
Proceedings of the 40th ACM technical symposium on Computer science education
Linear constraint graph for floorplan optimization with soft blocks
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
Diastolic arrays: throughput-driven reconfigurable computing
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
Proceedings of the 2009 Asia and South Pacific Design Automation Conference
Exploring adjacency in floorplanning
Proceedings of the 2009 Asia and South Pacific Design Automation Conference
Post-routing redundant via insertion with wire spreading capability
Proceedings of the 2009 Asia and South Pacific Design Automation Conference
Budgeted Phylogenetic Diversity on Circular Split Systems
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Performance simulations of moving target search algorithms
International Journal of Computer Games Technology - Artificial Intelligence for Computer Games
LCSD '07 Proceedings of the 2007 Symposium on Library-Centric Software Design
A highly available job execution service in computational service market
GRID '07 Proceedings of the 8th IEEE/ACM International Conference on Grid Computing
A partition-based heuristic for translational box covering
ICCOMP'08 Proceedings of the 12th WSEAS international conference on Computers
Leader election algorithm using heap structure
ICCOMP'08 Proceedings of the 12th WSEAS international conference on Computers
Routing connections with differentiated reliability requirements in WDM mesh networks
IEEE/ACM Transactions on Networking (TON)
A network aware privacy model for online requests in trajectory data
Data & Knowledge Engineering
A graph theoretic approach to protein structure selection
Artificial Intelligence in Medicine
Distribution-based bandwidth access scheme in slotted all-optical packet-switched networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Resource aware mapping on coarse grained reconfigurable arrays
Microprocessors & Microsystems
A scalable, parallel algorithm for maximal clique enumeration
Journal of Parallel and Distributed Computing
Optimizing user views for workflows
Proceedings of the 12th International Conference on Database Theory
Post-floorplanning power/ground ring synthesis for multiple-supply-voltage designs
Proceedings of the 2009 international symposium on Physical design
Redundant via insertion with wire bending
Proceedings of the 2009 international symposium on Physical design
Robust layer assignment for via optimization in multi-layer global routing
Proceedings of the 2009 international symposium on Physical design
MTNet: design of a wireless test framework for heterogeneous nanometer systems-on-chip
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Fundamenta Informaticae
Embedding secret messages based on chaotic map and Huffman coding
Proceedings of the 3rd International Conference on Ubiquitous Information Management and Communication
AlphaSum: size-constrained table summarization using value lattices
Proceedings of the 12th International Conference on Extending Database Technology: Advances in Database Technology
Answering aggregate keyword queries on relational databases using minimal group-bys
Proceedings of the 12th International Conference on Extending Database Technology: Advances in Database Technology
On-line exact shortest distance query processing
Proceedings of the 12th International Conference on Extending Database Technology: Advances in Database Technology
Efficiently indexing shortest paths by exploiting symmetry in graphs
Proceedings of the 12th International Conference on Extending Database Technology: Advances in Database Technology
Multi-agent resource allocation (MARA) for modeling construction processes
Proceedings of the 40th Conference on Winter Simulation
Information disclosure by answers to XPath queries
Journal of Computer Security - Selected papers from the Third and Fourth Secure Data Management (SDM) workshops
International Journal of Remote Sensing
Efficient deterministic method for detecting new U2R attacks
Computer Communications
HTab: a Terminating Tableaux System for Hybrid Logic
Electronic Notes in Theoretical Computer Science (ENTCS)
Information Sciences: an International Journal
Data Mining and Knowledge Discovery
True color image steganography using palette and minimum spanning tree
CEA'09 Proceedings of the 3rd WSEAS international conference on Computer engineering and applications
Dynamic ham-sandwich cuts in the plane
Computational Geometry: Theory and Applications
The distance geometry of music
Computational Geometry: Theory and Applications
Single facility collection depots location problem in the plane
Computational Geometry: Theory and Applications
Information Processing Letters
A linear time algorithm for finding an optimal degree-bounded subtree of an edge-weighted tree
Information Processing Letters
System state coverage through automatic test case generation
International Journal of Information and Communication Technology
Efficient Calculation of the Transition Matrix in a Max-Plus Linear State-Space Representation
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Detecting Theft of Java Applications via a Static Birthmark Based on Weighted Stack Patterns
IEICE - Transactions on Information and Systems
Generating realistic impressions for file-system benchmarking
FAST '09 Proccedings of the 7th conference on File and storage technologies
Combinatorial optimization in system configuration design
Automation and Remote Control
High-performance obstacle-avoiding rectilinear steiner tree construction
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Theories and algorithms on single-detour routing for untangling twisted bus
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Using geo-spatial session tagging for smart multicast session discovery
Proceedings of the 2009 ACM symposium on Applied Computing
Using games to introduce middle school girls to computer science
Journal of Computing Sciences in Colleges
A Recommender System Based on Local Random Walks and Spectral Methods
Advances in Web Mining and Web Usage Analysis
Discrete Applied Mathematics
Efficient algorithms for computing Reeb graphs
Computational Geometry: Theory and Applications
Wireless sensor networks scheduling for full angle coverage
Multidimensional Systems and Signal Processing
Octilinear redistributive routing in bump arrays
Proceedings of the 19th ACM Great Lakes symposium on VLSI
A taylor series methodology for analyzing the effects of process variation on circuit operation
Proceedings of the 19th ACM Great Lakes symposium on VLSI
Learning Actions through Imitation and Exploration: Towards Humanoid Robots That Learn from Humans
Creating Brain-Like Intelligence
An Improved Algorithm for Mining Non-Redundant Interacting Feature Subsets
APWeb/WAIM '09 Proceedings of the Joint International Conferences on Advances in Data and Web Management
A Fast Heuristic Algorithm for the Composite Web Service Selection
APWeb/WAIM '09 Proceedings of the Joint International Conferences on Advances in Data and Web Management
DepRank: A Probabilistic Measure of Dependence via Heterogeneous Links
APWeb/WAIM '09 Proceedings of the Joint International Conferences on Advances in Data and Web Management
Generating Rewritable Abstract Syntax Trees
Software Language Engineering
SNIFF: A Search Engine for Java Using Free-Form Queries
FASE '09 Proceedings of the 12th International Conference on Fundamental Approaches to Software Engineering: Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009
Swarm Intelligence Inspired Multicast Routing: An Ant Colony Optimization Approach
EvoWorkshops '09 Proceedings of the EvoWorkshops 2009 on Applications of Evolutionary Computing: EvoCOMNET, EvoENVIRONMENT, EvoFIN, EvoGAMES, EvoHOT, EvoIASP, EvoINTERACTION, EvoMUSART, EvoNUM, EvoSTOC, EvoTRANSLOG
Updating recursive XML views of relations
Journal of Computer Science and Technology
Optimal node-selection algorithm for parallel download in overlay content-distribution networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
On the relation among answer set solvers
Annals of Mathematics and Artificial Intelligence
Approximating edit distance in near-linear time
Proceedings of the forty-first annual ACM symposium on Theory of computing
Finding sparse cuts locally using evolving sets
Proceedings of the forty-first annual ACM symposium on Theory of computing
Small-size ε-nets for axis-parallel rectangles and boxes
Proceedings of the forty-first annual ACM symposium on Theory of computing
Computation of a (min,+) multi-dimensional convolution for end-to-end performance analysis
Proceedings of the 3rd International Conference on Performance Evaluation Methodologies and Tools
Modelling intrusion detection as an allocation problem
Pattern Recognition Letters
Modeling obstacles in INET/Mobility framework: motivation, integration, and performance
Proceedings of the 2nd International Conference on Simulation Tools and Techniques
Ontology-Based Assessment of Functional Redundancy in Health Information Systems
Models in Software Engineering
Communication-Efficient Private Protocols for Longest Common Subsequence
CT-RSA '09 Proceedings of the The Cryptographers' Track at the RSA Conference 2009 on Topics in Cryptology
Multi-robot task allocation through vacancy chain scheduling
Robotics and Autonomous Systems
WSEAS TRANSACTIONS on COMMUNICATIONS
Determining directional distances between points and shorelines using sweep line technique
International Journal of Geographical Information Science
Compiler assisted architectural exploration framework for coarse grained reconfigurable arrays
The Journal of Supercomputing
Proof Pearl: Mechanizing the Textbook Proof of Huffman's Algorithm
Journal of Automated Reasoning
Topic development pattern analysis-based adaptation of information spaces
The New Review of Hypermedia and Multimedia - Adaptive Hypermedia
Near-linear approximation algorithms for geometric hitting sets
Proceedings of the twenty-fifth annual symposium on Computational geometry
A general approach for cache-oblivious range reporting and approximate range counting
Proceedings of the twenty-fifth annual symposium on Computational geometry
Generalized matrix inversion is not harder than matrix multiplication
Journal of Computational and Applied Mathematics
Dynamic warp formation: Efficient MIMD control flow on SIMD graphics hardware
ACM Transactions on Architecture and Code Optimization (TACO)
Towards systematic design of enterprise networks
CoNEXT '08 Proceedings of the 2008 ACM CoNEXT Conference
Network-Based Inference of Cancer Progression from Microarray Data
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Communication-Sensitive Static Dataflow for Parallel Message Passing Applications
Proceedings of the 7th annual IEEE/ACM International Symposium on Code Generation and Optimization
Future Generation Computer Systems
Automatic replication of WSRF-based Grid services via operation providers
Future Generation Computer Systems
An architectural co-synthesis algorithm for energy-aware Network-on-Chip design
Journal of Systems Architecture: the EUROMICRO Journal
Evaluating the cost-benefit of using cloud computing to extend the capacity of clusters
Proceedings of the 18th ACM international symposium on High performance distributed computing
Link spam target detection using page farms
ACM Transactions on Knowledge Discovery from Data (TKDD)
Efficient Euclidean projections in linear time
ICML '09 Proceedings of the 26th Annual International Conference on Machine Learning
On Total Variation Minimization and Surface Evolution Using Parametric Maximum Flows
International Journal of Computer Vision
Engineering the divide-and-conquer closest pair algorithm
Journal of Computer Science and Technology
SAMBA-bus: A high performance bus architecture for system-on-chips
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A robust power gating structure and power mode transition strategy for MTCMOS design
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Verification Techniques for System-Level Design
Verification Techniques for System-Level Design
MINTS: A general framework and tool for supporting test-suite minimization
ICSE '09 Proceedings of the 31st International Conference on Software Engineering
Application-aware deadlock-free oblivious routing
Proceedings of the 36th annual international symposium on Computer architecture
Towards collaborative data reduction in stream-processing systems
International Journal of Communication Networks and Distributed Systems
Proceedings of The 8th International Conference on Autonomous Agents and Multiagent Systems - Volume 2
A method for detecting the theft of Java programs through analysis of the control flow information
Information and Software Technology
A grammar-based entity representation framework for data cleaning
Proceedings of the 2009 ACM SIGMOD International Conference on Management of data
A Unified Framework for Sub-stream Scheduling in P2P Hybrid Streaming Systems and How to Do Better?
NETWORKING '09 Proceedings of the 8th International IFIP-TC 6 Networking Conference
CHAP: Enabling Efficient Hardware-Based Multiple Hash Schemes for IP Lookup
NETWORKING '09 Proceedings of the 8th International IFIP-TC 6 Networking Conference
The How? When? and What? for the Process of Re-planning for Product Releases
ICSP '09 Proceedings of the International Conference on Software Process: Trustworthy Software Development Processes
Approximability and Fixed-Parameter Tractability for the Exemplar Genomic Distance Problems
TAMC '09 Proceedings of the 6th Annual Conference on Theory and Applications of Models of Computation
Greedy Local Search and Vertex Cover in Sparse Random Graphs
TAMC '09 Proceedings of the 6th Annual Conference on Theory and Applications of Models of Computation
Factor Analysis of Incidence Data via Novel Decomposition of Matrices
ICFCA '09 Proceedings of the 7th International Conference on Formal Concept Analysis
Topology-Free Querying of Protein Interaction Networks
RECOMB 2'09 Proceedings of the 13th Annual International Conference on Research in Computational Molecular Biology
CUDA Solutions for the SSSP Problem
ICCS '09 Proceedings of the 9th International Conference on Computational Science: Part I
ISNN '09 Proceedings of the 6th International Symposium on Neural Networks on Advances in Neural Networks
ISNN '09 Proceedings of the 6th International Symposium on Neural Networks on Advances in Neural Networks
An Intelligent Tutoring System for Interactive Learning of Data Structures
ICCS 2009 Proceedings of the 9th International Conference on Computational Science
Tracking Files in the Kepler Provenance Framework
SSDBM 2009 Proceedings of the 21st International Conference on Scientific and Statistical Database Management
A materialized view selection technique for XPath queries
ECC'08 Proceedings of the 2nd conference on European computing conference
A Memory-efficient ε-Removal Algorithm for Weighted Acyclic Finite-State Automata
Proceedings of the 2009 conference on Finite-State Methods and Natural Language Processing: Post-proceedings of the 7th International Workshop FSMNLP 2008
Comparison and versioning of scientific workflows
CVSM '09 Proceedings of the 2009 ICSE Workshop on Comparison and Versioning of Software Models
Reconfigurable Computing: The Theory and Practice of FPGA-Based Computation
Reconfigurable Computing: The Theory and Practice of FPGA-Based Computation
Managing Co-reference Knowledge for Data Integration
Proceedings of the 2009 conference on Information Modelling and Knowledge Bases XX
A Connectivity Constraint using Bridges
Proceedings of the 2006 conference on ECAI 2006: 17th European Conference on Artificial Intelligence August 29 -- September 1, 2006, Riva del Garda, Italy
Combining digital access and parallel partition for quicksort and quickselect
IWMSE '09 Proceedings of the 2009 ICSE Workshop on Multicore Software Engineering
Liana: a decentralized load-dependent scheduler for performance-cost optimization of grid service
The Journal of Supercomputing
A new approach to discover interlacing data structures in high-dimensional space
Journal of Intelligent Information Systems
Delay aware link scheduling for multi-hop TDMA wireless networks
IEEE/ACM Transactions on Networking (TON)
Maximum reliable path under multiple failures
CIS'09 Proceedings of the international conference on Computational and information science 2009
Insight knowledge in search based software testing
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Information and Software Technology
IWANN '09 Proceedings of the 10th International Work-Conference on Artificial Neural Networks: Part II: Distributed Computing, Artificial Intelligence, Bioinformatics, Soft Computing, and Ambient Assisted Living
A Machine-Checked Proof of the Average-Case Complexity of Quicksort in Coq
Types for Proofs and Programs
Approximate Conditional Distributions of Distances between Nodes in a Two-Dimensional Sensor Network
ASMTA '09 Proceedings of the 16th International Conference on Analytical and Stochastic Modeling Techniques and Applications
Improved Online Algorithms for Multiplexing Weighted Packets in Bounded Buffers
AAIM '09 Proceedings of the 5th International Conference on Algorithmic Aspects in Information and Management
Improved Algorithms for the Gene Team Problem
COCOA '09 Proceedings of the 3rd International Conference on Combinatorial Optimization and Applications
COCOA '09 Proceedings of the 3rd International Conference on Combinatorial Optimization and Applications
Contracted Suffix Trees: A Simple and Dynamic Text Indexing Data Structure
CPM '09 Proceedings of the 20th Annual Symposium on Combinatorial Pattern Matching
Distributed top-k aggregation queries at large
Distributed and Parallel Databases
Network Topology and Locational Market Power
Computational Economics
Optimal Length Resolution Refutations of Difference Constraint Systems
Journal of Automated Reasoning
Square and Rectangle Covering with Outliers
FAW '09 Proceedings of the 3d International Workshop on Frontiers in Algorithmics
Processing an Offline Insertion-Query Sequence with Applications
FAW '09 Proceedings of the 3d International Workshop on Frontiers in Algorithmics
Toward an Automatic Approach to Greedy Algorithms
FAW '09 Proceedings of the 3d International Workshop on Frontiers in Algorithmics
Approximate Evaluation of Range Nearest Neighbor Queries with Quality Guarantee
SSTD '09 Proceedings of the 11th International Symposium on Advances in Spatial and Temporal Databases
Sort Me If You Can: How to Sort Dynamic Data
ICALP '09 Proceedings of the 36th Internatilonal Collogquium on Automata, Languages and Programming: Part II
A Distributed and Oblivious Heap
ICALP '09 Proceedings of the 36th Internatilonal Collogquium on Automata, Languages and Programming: Part II
Improved layout of the odd-even sorting network
Computer Networks: The International Journal of Computer and Telecommunications Networking
Sorting and Selection with Imprecise Comparisons
ICALP '09 Proceedings of the 36th International Colloquium on Automata, Languages and Programming: Part I
Computing the Girth of a Planar Graph in O(n logn) Time
ICALP '09 Proceedings of the 36th International Colloquium on Automata, Languages and Programming: Part I
RSFDGrC '07 Proceedings of the 11th International Conference on Rough Sets, Fuzzy Sets, Data Mining and Granular Computing
Efficient Removal of Noisy Borders of Monochromatic Documents
ICIAR '09 Proceedings of the 6th International Conference on Image Analysis and Recognition
Efficient Viewpoint Selection for Urban Texture Documentation
GSN '09 Proceedings of the 3rd International Conference on GeoSensor Networks
Scalable Time Warp on Blue Gene Supercomputers
PADS '09 Proceedings of the 2009 ACM/IEEE/SCS 23rd Workshop on Principles of Advanced and Distributed Simulation
Efficient broadcasting in known topology radio networks with long-range interference
Proceedings of the 28th ACM symposium on Principles of distributed computing
Maximal strips data structure to represent free space on partially reconfigurable FPGAs
International Journal of Parallel, Emergent and Distributed Systems - Advances in Parallel and Distributed Computational Models
Selection of customers for operational and usage profiling
Proceedings of the Second International Workshop on Testing Database Systems
Implementing and evaluating phrasal query suggestions for proximity search
Information Systems
The concept of nondeterminism: its development and implications for teaching
ACM SIGCSE Bulletin
Improving bug triage with bug tossing graphs
Proceedings of the the 7th joint meeting of the European software engineering conference and the ACM SIGSOFT symposium on The foundations of software engineering
MSeqGen: object-oriented unit-test generation via mining source code
Proceedings of the the 7th joint meeting of the European software engineering conference and the ACM SIGSOFT symposium on The foundations of software engineering
Implementing and evaluating phrasal query suggestions for proximity search
Information Systems
Evaluating consistency algorithms for temporal metric constraints
AAAI'04 Proceedings of the 19th national conference on Artifical intelligence
AAAI'06 proceedings of the 21st national conference on Artificial intelligence - Volume 2
A BDD-based polytime algorithm for cost-bounded interactive configuration
AAAI'06 Proceedings of the 21st national conference on Artificial intelligence - Volume 1
Measuring and computing natural generators for homology groups
Computational Geometry: Theory and Applications
QoS requirement generation and algorithm selection for composite service based on reference vector
Journal of Computer Science and Technology
Multistage off-line permutation packet routing on a mesh: an approach with elementary mathematics
Journal of Computer Science and Technology
A Fast Approximation Algorithm for the k Partition-Distance Problem
ICCSA '09 Proceedings of the International Conference on Computational Science and Its Applications: Part II
Analysis of Data Dependency Based Intrusion Detection System
Proceedings of the 23rd Annual IFIP WG 11.3 Working Conference on Data and Applications Security XXIII
Parallel Volume Image Segmentation with Watershed Transformation
SCIA '09 Proceedings of the 16th Scandinavian Conference on Image Analysis
Towards Enhanced Retrieval of Biological Models through Annotation-Based Ranking
DILS '09 Proceedings of the 6th International Workshop on Data Integration in the Life Sciences
Dialog codes for secure wireless communications
IPSN '09 Proceedings of the 2009 International Conference on Information Processing in Sensor Networks
A New Algorithm for Optimal Path Finding in Complex Networks Based on the Quotient Space
Fundamenta Informaticae
A multi-dimensional data organization for natural language processing
Journal of Computational Methods in Sciences and Engineering
Placing pipeline stages on a Grid: Single path and multipath pipeline execution
Future Generation Computer Systems
Implementing voting constraints with finite state transducers
FSMNLP '09 Proceedings of the International Workshop on Finite State Methods in Natural Language Processing
Computing Stuttering Simulations
CONCUR 2009 Proceedings of the 20th International Conference on Concurrency Theory
Counterexamples in Probabilistic LTL Model Checking for Markov Chains
CONCUR 2009 Proceedings of the 20th International Conference on Concurrency Theory
Running Time Analysis of ACO Systems for Shortest Path Problems
SLS '09 Proceedings of the Second International Workshop on Engineering Stochastic Local Search Algorithms. Designing, Implementing and Analyzing Effective Heuristics
Time-Sensitive Language Modelling for Online Term Recurrence Prediction
ICTIR '09 Proceedings of the 2nd International Conference on Theory of Information Retrieval: Advances in Information Retrieval Theory
Efficient MaxCount and threshold operators of moving objects
Geoinformatica
On codeword design in metric DNA spaces
Natural Computing: an international journal
A join tree probability propagation architecture for semantic modeling
Journal of Intelligent Information Systems
Rank/select on dynamic compressed sequences and applications
Theoretical Computer Science
NAACL-Short '07 Human Language Technologies 2007: The Conference of the North American Chapter of the Association for Computational Linguistics; Companion Volume, Short Papers
Coupled Minimum-Cost Flow Cell Tracking
IPMI '09 Proceedings of the 21st International Conference on Information Processing in Medical Imaging
WASA '09 Proceedings of the 4th International Conference on Wireless Algorithms, Systems, and Applications
ε-Net Approach to Sensor k-Coverage
WASA '09 Proceedings of the 4th International Conference on Wireless Algorithms, Systems, and Applications
Multicast Extensions to the Location-Prediction Based Routing Protocol for Mobile Ad Hoc Networks
WASA '09 Proceedings of the 4th International Conference on Wireless Algorithms, Systems, and Applications
A Schrödinger Wave Equation Approach to the Eikonal Equation: Application to Image Analysis
EMMCVPR '09 Proceedings of the 7th International Conference on Energy Minimization Methods in Computer Vision and Pattern Recognition
Packaging Mathematical Structures
TPHOLs '09 Proceedings of the 22nd International Conference on Theorem Proving in Higher Order Logics
From Entity Relationship to XML Schema: A Graph-Theoretic Approach
XSym '09 Proceedings of the 6th International XML Database Symposium on Database and XML Technologies
Three Approximation Algorithms for Energy-Efficient Query Dissemination in Sensor Database System
DEXA '09 Proceedings of the 20th International Conference on Database and Expert Systems Applications
Finding N-Most Prevalent Colocated Event Sets
DaWaK '09 Proceedings of the 11th International Conference on Data Warehousing and Knowledge Discovery
Empirical Software Engineering
Single-link failure detection in all-optical networks using monitoring cycles and paths
IEEE/ACM Transactions on Networking (TON)
Distributed complex event processing with query rewriting
Proceedings of the Third ACM International Conference on Distributed Event-Based Systems
MICS: an efficient content space representation model for publish/subscribe systems
Proceedings of the Third ACM International Conference on Distributed Event-Based Systems
Interactive configuration with regular string constraints
AAAI'07 Proceedings of the 22nd national conference on Artificial intelligence - Volume 1
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 1
Scaling natural language understanding via user-driven ontology learning
ScaNaLU '06 Proceedings of the Third Workshop on Scalable Natural Language Understanding
Dynamic ADTs: a "don't ask, don't tell" policy for data abstraction
Proceedings of the 2007 International Lisp Conference
Journal of Artificial Intelligence Research
New inference rules for Max-SAT
Journal of Artificial Intelligence Research
Solving #SAT and Bayesian inference with backtracking search
Journal of Artificial Intelligence Research
Topological value iteration algorithm for Markov decision processes
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
Improving height-balance in search trees: center versus root, radius versus height
ECC'09 Proceedings of the 3rd international conference on European computing conference
An algorithm for best approximation of functions by broken lines
ECC'09 Proceedings of the 3rd international conference on European computing conference
A sketching interface for feature curve recovery of free-form surfaces
2009 SIAM/ACM Joint Conference on Geometric and Physical Modeling
A design flow for application specific heterogeneous pipelined multiprocessor systems
Proceedings of the 46th Annual Design Automation Conference
Adaptive test elimination for analog/RF circuits
Proceedings of the 46th Annual Design Automation Conference
Fast track article: Improved sensor network lifetime with multiple mobile sinks
Pervasive and Mobile Computing
Enclosing weighted points with an almost-unit ball
Information Processing Letters
Dynamic resource selection heuristics for a non-reserved bidding-based Grid environment
Future Generation Computer Systems
A syntax-directed translator with extended domain of locality
CHSLP '06 Proceedings of the Workshop on Computationally Hard Problems and Joint Inference in Speech and Language Processing
Efficient dynamic programming search algorithms for phrase-based SMT
CHSLP '06 Proceedings of the Workshop on Computationally Hard Problems and Joint Inference in Speech and Language Processing
Computer Networks: The International Journal of Computer and Telecommunications Networking
WI-IAT '09 Proceedings of the 2009 IEEE/WIC/ACM International Joint Conference on Web Intelligence and Intelligent Agent Technology - Volume 01
WI-IAT '09 Proceedings of the 2009 IEEE/WIC/ACM International Joint Conference on Web Intelligence and Intelligent Agent Technology - Volume 02
Intelligent Video for Protecting Crowded Sports Venues
AVSS '09 Proceedings of the 2009 Sixth IEEE International Conference on Advanced Video and Signal Based Surveillance
Llull and Copeland voting computationally resist bribery and constructive control
Journal of Artificial Intelligence Research
Fast simulation methods to predict wireless sensor network performance
Proceedings of the 6th ACM symposium on Performance evaluation of wireless ad hoc, sensor, and ubiquitous networks
ACM Transactions on Algorithms (TALG)
Trading off space for passes in graph streaming problems
ACM Transactions on Algorithms (TALG)
Optimal and online preemptive scheduling on uniformly related machines
Journal of Scheduling
Partition-based logical reasoning for first-order and propositional theories
Artificial Intelligence - Special volume on reformulation
Sensor networks and distributed CSP: communication, computation and complexity
Artificial Intelligence - Special issue: Distributed constraint satisfaction
On the remoteness function in median graphs
Discrete Applied Mathematics
On graphs of central episturmian words
Theoretical Computer Science
Enumerating pseudo-triangulations in the plane
Computational Geometry: Theory and Applications
Simple and optimal output-sensitive construction of contour trees using monotone paths
Computational Geometry: Theory and Applications - Special issue on the 19th European workshop on computational geometry - EuroCG 03
The minimum-area spanning tree problem
Computational Geometry: Theory and Applications
Structural filtering: a paradigm for efficient and exact geometric programs
Computational Geometry: Theory and Applications - Special issue: The 11th Candian conference on computational geometry - CCCG 99
Faster core-set constructions and data-stream algorithms in fixed dimensions
Computational Geometry: Theory and Applications
Proceedings of the ACM SIGAda annual international conference on Ada and related technologies
Locality behavior of parallel and sequential algorithms for irregular graph problems
PDCS '07 Proceedings of the 19th IASTED International Conference on Parallel and Distributed Computing and Systems
Requirements analysis using similar sequence diagrams
SEA '07 Proceedings of the 11th IASTED International Conference on Software Engineering and Applications
Computer Methods and Programs in Biomedicine
Repeated median and hybrid filters
Computational Statistics & Data Analysis
Extending differentiated services architecture for multicasting provisioning
Computer Networks: The International Journal of Computer and Telecommunications Networking
Computer Networks: The International Journal of Computer and Telecommunications Networking
Adaptive server selection for large scale interactive online games
Computer Networks: The International Journal of Computer and Telecommunications Networking
Analyzing the performance of deferred reservations
Computer Networks: The International Journal of Computer and Telecommunications Networking
Capacity and delay of hybrid wireless broadband access networks
IEEE Journal on Selected Areas in Communications - Special issue on broadband access networks: Architectures and protocols
Algorithms for the physical rendering and assembly of octree models
Computer-Aided Design
An efficient simulation algorithm based on abstract interpretation
Information and Computation
Technical section: Drawing lines by uniform packing
Computers and Graphics
Strategies for humanoid robots to dynamically walkover large obstacles
IEEE Transactions on Robotics
Optimal sensor selection in binary heterogeneous sensor networks
IEEE Transactions on Signal Processing
Improved visibility computation on massive grid terrains
Proceedings of the 17th ACM SIGSPATIAL International Conference on Advances in Geographic Information Systems
Space-efficient time-series call-path profiling of parallel applications
Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis
Parsing '05 Proceedings of the Ninth International Workshop on Parsing Technology
A note on graph balancing problems with restrictions
Information Processing Letters
Solving coalitional resource games
Artificial Intelligence
Fast convolution and Fast Fourier Transform under interval and fuzzy uncertainty
Journal of Computer and System Sciences
Effects of discretization on determination of coronary artery disease using support vector machine
Proceedings of the 2nd International Conference on Interaction Sciences: Information Technology, Culture and Human
An improved soft-error rate measurement technique
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Voltage-Island partitioning and floorplanning under timing constraints
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Recursive analysis for soft handoff schemes in CDMA cellular systems
IEEE Transactions on Wireless Communications
An optimal power algorithm for interface design of System-on-Chip
CSS '07 Proceedings of the Fifth IASTED International Conference on Circuits, Signals and Systems
Using updated neighbor state information for efficient contention avoidance in OBS networks
Computer Communications
Computing mean absolute deviation under uncertainty
Applied Soft Computing
Computing certain answers in the presence of dependencies
Information Systems
Closures in Binary Partial Algebras
Electronic Notes in Theoretical Computer Science (ENTCS)
IBM Systems Journal
International Journal of Ad Hoc and Ubiquitous Computing
Cluster analysis on time series gene expression data
International Journal of Business Intelligence and Data Mining
Optimizing shared cache behavior of chip multiprocessors
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
Design of sequence family subsets using a branch and bound technique
IEEE Transactions on Information Theory
Routing for manufacturability and reliability
IEEE Circuits and Systems Magazine
Topological Key Hierarchy for Energy-Efficient Group Key Management in Wireless Sensor Networks
Wireless Personal Communications: An International Journal
Debugging complex software systems by means of pathfinder networks
Information Sciences: an International Journal
Biometric quantization through detection rate optimized bit allocation
EURASIP Journal on Advances in Signal Processing
Detecting malicious nodes in peer-to-peer streaming by peer-based monitoring
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
Characterising temporal distance and reachability in mobile and online social networks
ACM SIGCOMM Computer Communication Review
Selection and orientation of directional sensors for coverage maximization
SECON'09 Proceedings of the 6th Annual IEEE communications society conference on Sensor, Mesh and Ad Hoc Communications and Networks
An efficient pre-assignment routing algorithm for flip-chip designs
Proceedings of the 2009 International Conference on Computer-Aided Design
An object placement advisor for DB2 using solid state storage
Proceedings of the VLDB Endowment
A fair assignment algorithm for multiple preference queries
Proceedings of the VLDB Endowment
Automatically generating Wikipedia articles: a structure-aware approach
ACL '09 Proceedings of the Joint Conference of the 47th Annual Meeting of the ACL and the 4th International Joint Conference on Natural Language Processing of the AFNLP: Volume 1 - Volume 1
Bioinformatics: implementation of a proposed upgraded Smith-Waterman algorithm for local alignment
CIBCB'09 Proceedings of the 6th Annual IEEE conference on Computational Intelligence in Bioinformatics and Computational Biology
A computational model for inference chains in expert systems
INES'09 Proceedings of the IEEE 13th international conference on Intelligent Engineering Systems
Diversity-multiplexing tradeoff bounds for wireless relay networks
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Exploiting bilingual information to improve web search
ACL '09 Proceedings of the Joint Conference of the 47th Annual Meeting of the ACL and the 4th International Joint Conference on Natural Language Processing of the AFNLP: Volume 2 - Volume 2
Modeling Human Operator Controlling Process in Different Environments
ICANN '09 Proceedings of the 19th International Conference on Artificial Neural Networks: Part II
Hybridizing Beam-ACO with Constraint Programming for Single Machine Job Scheduling
HM '09 Proceedings of the 6th International Workshop on Hybrid Metaheuristics
Committee Selection with a Weight Constraint Based on Lexicographic Rankings of Individuals
ADT '09 Proceedings of the 1st International Conference on Algorithmic Decision Theory
On-the-fly Emptiness Check of Transition-Based Streett Automata
ATVA '09 Proceedings of the 7th International Symposium on Automated Technology for Verification and Analysis
Structure-driven optimizations for amorphous data-parallel programs
Proceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming
Event Based Simulator for Parallel Computing over the Wide Area Network for Real Time Visualization
IVIC '09 Proceedings of the 1st International Visual Informatics Conference on Visual Informatics: Bridging Research and Practice
Comparison of Data Structures for Computing Formal Concepts
MDAI '09 Proceedings of the 6th International Conference on Modeling Decisions for Artificial Intelligence
On Using Adaptive Binary Search Trees to Enhance Self Organizing Maps
AI '09 Proceedings of the 22nd Australasian Joint Conference on Advances in Artificial Intelligence
Playing Sub-stories from Complex Movies
ICIDS '09 Proceedings of the 2nd Joint International Conference on Interactive Digital Storytelling: Interactive Storytelling
Semantic Structural Similarity Measure for Clustering XML Documents
WISM '09 Proceedings of the International Conference on Web Information Systems and Mining
A Short Cut to Optimal Sequences
APLAS '09 Proceedings of the 7th Asian Symposium on Programming Languages and Systems
Approximating Points by a Piecewise Linear Function: II. Dealing with Outliers
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
Linear and Sublinear Time Algorithms for Basis of Abelian Groups
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
Locating an Obnoxious Line among Planar Objects
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
Approximability of Edge Matching Puzzles
SOFSEM '10 Proceedings of the 36th Conference on Current Trends in Theory and Practice of Computer Science
A Linear Time Algorithm for Finding Three Edge-Disjoint Paths in Eulerian Networks
SOFSEM '10 Proceedings of the 36th Conference on Current Trends in Theory and Practice of Computer Science
Tele-conference using advanced tool on future IP
ICOIN'09 Proceedings of the 23rd international conference on Information Networking
A large-deviation analysis for the maximum likelihood learning of tree structures
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 2
Korean POI word segmentation and tagging for speech interfac in-vehicle navigation system
ICACT'09 Proceedings of the 11th international conference on Advanced Communication Technology - Volume 3
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
Balanced vertex-orderings of graphs
Discrete Applied Mathematics
From program verification to program synthesis
Proceedings of the 37th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Prediction of past unsolved terrorist attacks
ISI'09 Proceedings of the 2009 IEEE international conference on Intelligence and security informatics
From Chemical Rules to Term Rewriting
Electronic Notes in Theoretical Computer Science (ENTCS)
Bus maintenance scheduling using multi-agent systems
Engineering Applications of Artificial Intelligence
Computational Geometry: Theory and Applications
Two flow network simplification algorithms
Information Processing Letters
Δ-List vertex coloring in linear time
Information Processing Letters
An efficient query evaluation in a mediator based on implementation plan
Information Sciences: an International Journal
Integration, the VLSI Journal
Semi-matchings for bipartite graphs and load balancing
Journal of Algorithms
Polynomial time recognition of unit circular-arc graphs
Journal of Algorithms
GA search method for multiple evacuation routes using the information of hazard map
AIA '08 Proceedings of the 26th IASTED International Conference on Artificial Intelligence and Applications
Region-based connectivity: a new paradigm for design of fault-tolerant networks
HPSR'09 Proceedings of the 15th international conference on High Performance Switching and Routing
A heuristic and hybrid hash-based approach to fast lookup
HPSR'09 Proceedings of the 15th international conference on High Performance Switching and Routing
The shifted number system for fast linear algebra on integer matrices
Journal of Complexity - Festschrift for the 70th birthday of Arnold Schönhage
A sketch-based distance oracle for web-scale graphs
Proceedings of the third ACM international conference on Web search and data mining
Early exit optimizations for additive machine learned ranking systems
Proceedings of the third ACM international conference on Web search and data mining
Analysis of the (1 + 1)-EA for finding approximate solutions to vertex cover problems
IEEE Transactions on Evolutionary Computation
Interest points of general imbalance
IEEE Transactions on Image Processing
Information theoretic feature extraction for audio-visual speech recognition
IEEE Transactions on Signal Processing
Ad hoc solution of the multicommodity-flow-over-time problem
IEEE Transactions on Intelligent Transportation Systems
Feasibility of semiring-based timing constraints
ACM Transactions on Embedded Computing Systems (TECS)
Interference aware spectrum allocation in IEEE 802.22 wireless mesh networks
WOC '08 Proceedings of the Eighth IASTED International Conference on Wireless and Optical Communications
High throughput and large capacity pipelined dynamic search tree on FPGA
Proceedings of the 18th annual ACM/SIGDA international symposium on Field programmable gate arrays
Server-side coprocessor updating for mobile devices with FPGAs
Proceedings of the 18th annual ACM/SIGDA international symposium on Field programmable gate arrays
On-Line Policy Gradient Estimation with Multi-Step Sampling
Discrete Event Dynamic Systems
Algorithm for defining of initial package of notions for purpose of e-learning
CompSysTech '09 Proceedings of the International Conference on Computer Systems and Technologies and Workshop for PhD Students in Computing
Novel reconfigurable randomized broadcast algorithm for channel-aware wireless networks
SMC'09 Proceedings of the 2009 IEEE international conference on Systems, Man and Cybernetics
A secure partition-based document image watermarking scheme
International Journal of Information and Computer Security
Proceedings of the 41st ACM technical symposium on Computer science education
The baffling CS notions of "as-if" and "don't-care"
Proceedings of the 41st ACM technical symposium on Computer science education
Construction of constrained codes for state-independent decoding
IEEE Journal on Selected Areas in Communications
Interconnect power and delay optimization by dynamic programming in gridded design rules
Proceedings of the 19th international symposium on Physical design
Optimal wiring topology for electromigration avoidance considering multiple layers and obstacles
Proceedings of the 19th international symposium on Physical design
Communications of the ACM
Size matters: logarithmic space is real time
International Journal of Computers and Applications
AI Communications - Practical Aspects of Automated Reasoning
Automated quantitative assessment of perifollicular vascularization
CSECS'09 Proceedings of the 8th WSEAS International Conference on Circuits, systems, electronics, control & signal processing
A new mechanism of dynamic spectrum allocation in the cognitive network
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
The hardness and approximation algorithms for l-diversity
Proceedings of the 13th International Conference on Extending Database Technology
Agile bandwidth management techniques in slotted all-optical packet switched networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Treewidth computations I. Upper bounds
Information and Computation
An art gallery-based approach: roadmap construction and path planning in global environments
International Journal of Robotics and Automation
Roadcast: a popularity aware content sharing scheme in VANETs
ACM SIGMOBILE Mobile Computing and Communications Review
Operational distortion-quantization curve-based bit allocation for smooth video quality
Journal of Visual Communication and Image Representation
Video retargeting with multi-scale trajectory optimization
Proceedings of the international conference on Multimedia information retrieval
Modelling programmed frameshifting with frameshift machines
Natural Computing: an international journal
Context-similarity based hotlinks assignment: Model, metrics and algorithm
Data & Knowledge Engineering
Tractable approximate knowledge fusion using the Horn fragment of serial propositional dynamic logic
International Journal of Approximate Reasoning
Algorithms for memory hierarchies: advanced lectures
Algorithms for memory hierarchies: advanced lectures
Modeling and evaluation of trust with an extension in semantic web
Web Semantics: Science, Services and Agents on the World Wide Web
An implicit representation of chordal comparability graphs in linear time
Discrete Applied Mathematics
On minimizing register usage of linearly scheduled algorithms with uniform dependencies
Computer Languages, Systems and Structures
UFOme: An ontology mapping system with strategy prediction capabilities
Data & Knowledge Engineering
Feasibility of motion planning on acyclic and strongly connected directed graphs
Discrete Applied Mathematics
An overview of Channel Assignment methods for multi-radio multi-channel wireless mesh networks
Journal of Parallel and Distributed Computing
Efficient implementations of all-to-all broadcasting in mobile ad hoc networks
Pervasive and Mobile Computing
Proceedings of the Third Annual ACM Bangalore Conference
Energy-driven distribution of signal processing applications across wireless sensor networks
ACM Transactions on Sensor Networks (TOSN)
A new paradigm for collision-free hashing: incrementality at reduced cost
EUROCRYPT'97 Proceedings of the 16th annual international conference on Theory and application of cryptographic techniques
Trees with minimal Laplacian coefficients
Computers & Mathematics with Applications
Applied Numerical Mathematics
Bureaucratic protocols for secure two-party sorting, selection, and permuting
ASIACCS '10 Proceedings of the 5th ACM Symposium on Information, Computer and Communications Security
Fast Approximate kNN Graph Construction for High Dimensional Data via Recursive Lanczos Bisection
The Journal of Machine Learning Research
Sampling-based program execution monitoring
Proceedings of the ACM SIGPLAN/SIGBED 2010 conference on Languages, compilers, and tools for embedded systems
On the full and bottleneck full Steiner tree problems
COCOON'03 Proceedings of the 9th annual international conference on Computing and combinatorics
Fast and space-efficient location of heavy or dense segments in run-length encoded sequences
COCOON'03 Proceedings of the 9th annual international conference on Computing and combinatorics
On the brittleness of evolutionary algorithms
FOGA'07 Proceedings of the 9th international conference on Foundations of genetic algorithms
Reconciling gene trees to a species tree
CIAC'03 Proceedings of the 5th Italian conference on Algorithms and complexity
Reliability problem on all pairs quickest paths
ICCS'03 Proceedings of the 2003 international conference on Computational science
Associative-commutative rewriting on large terms
RTA'03 Proceedings of the 14th international conference on Rewriting techniques and applications
Reconstruction of 3D structures from protein contact maps
ISBRA'07 Proceedings of the 3rd international conference on Bioinformatics research and applications
New algorithms for SIMD alignment
CC'07 Proceedings of the 16th international conference on Compiler construction
Solving the set-splitting problem in sticker-based model and the lipton-adelmann model
ISPA'03 Proceedings of the 2003 international conference on Parallel and distributed processing and applications
Formal derivation of spanning trees algorithms
ZB'03 Proceedings of the 3rd international conference on Formal specification and development in Z and B
ICCS'03 Proceedings of the 2003 international conference on Computational science: PartIII
Discriminant isometric mapping for face recognition
ICVS'03 Proceedings of the 3rd international conference on Computer vision systems
TAMC'07 Proceedings of the 4th international conference on Theory and applications of models of computation
Fast minimum-weight double-tree shortcutting for metric TSP
WEA'07 Proceedings of the 6th international conference on Experimental algorithms
Attaining higher quality for density based algorithms
RR'07 Proceedings of the 1st international conference on Web reasoning and rule systems
A dominant input stream for LUD incremental computing on a contention network
ICA3PP'07 Proceedings of the 7th international conference on Algorithms and architectures for parallel processing
Network capacity allocation in service overlay networks
ITC20'07 Proceedings of the 20th international teletraffic conference on Managing traffic performance in converged networks
Roadmap-based collision-free motion planning for multiple moving agents in a smart home environment
ICOST'07 Proceedings of the 5th international conference on Smart homes and health telematics
Minimal counterexample generation for SPIN
Proceedings of the 14th international SPIN conference on Model checking software
Mixed models for the analysis of local search components
SLS'07 Proceedings of the 2007 international conference on Engineering stochastic local search algorithms: designing, implementing and analyzing effective heuristics
A neural network string matcher
CAIP'07 Proceedings of the 12th international conference on Computer analysis of images and patterns
Construction of hypercycles in typogenetics with evolutionary algorithms
ECAL'07 Proceedings of the 9th European conference on Advances in artificial life
Pursuit-evasion on trees by robot teams
IEEE Transactions on Robotics
Construction of a proxy-based overlay skeleton tree for large-scale real-time group communications
NETWORKING'07 Proceedings of the 6th international IFIP-TC6 conference on Ad Hoc and sensor networks, wireless networks, next generation internet
NETWORKING'07 Proceedings of the 6th international IFIP-TC6 conference on Ad Hoc and sensor networks, wireless networks, next generation internet
Finding algorithms in scientific articles
Proceedings of the 19th international conference on World wide web
Minimizing the expected complete influence time of a social network
Information Sciences: an International Journal
Design and evaluation of C++ open multi-methods
Science of Computer Programming
Runtime analysis of a binary particle swarm optimizer
Theoretical Computer Science
Threshold-based probabilistic top-k dominating queries
The VLDB Journal — The International Journal on Very Large Data Bases
Continuous spatial assignment of moving users
The VLDB Journal — The International Journal on Very Large Data Bases
Mesh Topological Optimization for Improving Piecewise-Linear Image Registration
Journal of Mathematical Imaging and Vision
Composite lightweight traffic classification system for network management
International Journal of Network Management
Growth constants of minor-closed classes of graphs
Journal of Combinatorial Theory Series B
Ant Colony Optimization and the minimum spanning tree problem
Theoretical Computer Science
INCRAIN: an incremental approach for the gravitational clustering
MICAI'07 Proceedings of the artificial intelligence 6th Mexican international conference on Advances in artificial intelligence
Constraints solution for time sensitive security protocols
FAW'07 Proceedings of the 1st annual international conference on Frontiers in algorithmics
An O(nm)-time certifying algorithm for recognizing HHD-free graphs
FAW'07 Proceedings of the 1st annual international conference on Frontiers in algorithmics
FBSA: a self-adjustable multi-source data scheduling algorithm for P2P media streaming
MCAM'07 Proceedings of the 2007 international conference on Multimedia content analysis and mining
Moving object tracking in H.264/AVC bitstream
MCAM'07 Proceedings of the 2007 international conference on Multimedia content analysis and mining
Baculovirus phylogeny based on genome rearrangements
RECOMB-CG'07 Proceedings of the 2007 international conference on Comparative genomics
Verifying object-oriented programs with KeY: a tutorial
FMCO'06 Proceedings of the 5th international conference on Formal methods for components and objects
Reverse engineering an agent-based hidden Markov model for complex social systems
IDEAL'07 Proceedings of the 8th international conference on Intelligent data engineering and automated learning
Unique lowest common ancestors in dags are almost as easy as matrix multiplication
ESA'07 Proceedings of the 15th annual European conference on Algorithms
Optimal resilient dynamic dictionaries
ESA'07 Proceedings of the 15th annual European conference on Algorithms
To fill or not to fill: the gas station problem
ESA'07 Proceedings of the 15th annual European conference on Algorithms
Approximate swap and mismatch edit distance
SPIRE'07 Proceedings of the 14th international conference on String processing and information retrieval
Decomposing document images by heuristic search
EMMCVPR'07 Proceedings of the 6th international conference on Energy minimization methods in computer vision and pattern recognition
Compositional object recognition, segmentation, and tracking in video
EMMCVPR'07 Proceedings of the 6th international conference on Energy minimization methods in computer vision and pattern recognition
Hierarchical co-clustering for web queries and selected URLs
WISE'07 Proceedings of the 8th international conference on Web information systems engineering
Checking value-sensitive data structures in sublinear space
ISAAC'07 Proceedings of the 18th international conference on Algorithms and computation
I/O-efficient map overlay and point location in low-density subdivisions
ISAAC'07 Proceedings of the 18th international conference on Algorithms and computation
Problem kernels for NP-complete edge deletion problems: split and related graphs
ISAAC'07 Proceedings of the 18th international conference on Algorithms and computation
Cost-balancing tolls for atomic network congestion games
WINE'07 Proceedings of the 3rd international conference on Internet and network economics
Accomplishing approximate FCFS fairness without queues
HiPC'07 Proceedings of the 14th international conference on High performance computing
GRASPER: a framework for graph constraint satisfaction problems
EPIA'07 Proceedings of the aritficial intelligence 13th Portuguese conference on Progress in artificial intelligence
Algorithms for reliable navigation and wayfinding
SC'06 Proceedings of the 2006 international conference on Spatial Cognition V: reasoning, action, interaction
Minimal traffic-constrained similarity-based SOAP multicast routing protocol
OTM'07 Proceedings of the 2007 OTM Confederated international conference on On the move to meaningful internet systems: CoopIS, DOA, ODBASE, GADA, and IS - Volume Part I
Aspect-oriented programming: selecting and exposing object paths
SC'07 Proceedings of the 6th international conference on Software composition
Learning actions using robust string kernels
Proceedings of the 2nd conference on Human motion: understanding, modeling, capture and animation
Bus-pin-aware bus-driven floorplanning
Proceedings of the 20th symposium on Great lakes symposium on VLSI
RISC: a new filter approach for feature selection from proteomic data
ICMB'08 Proceedings of the 1st international conference on Medical biometrics
A supernodal out-of-core sparse Gaussian-elimination method
PPAM'07 Proceedings of the 7th international conference on Parallel processing and applied mathematics
Approximation algorithm for the kinetic robust K-center problem
Computational Geometry: Theory and Applications
Adaptive relaxation for querying heterogeneous XML data sources
Information Systems
Fast randomized algorithm for center-detection
Pattern Recognition
Comparing integer data structures for 32 and 64 bit keys
WEA'08 Proceedings of the 7th international conference on Experimental algorithms
Computing multiple watchman routes
WEA'08 Proceedings of the 7th international conference on Experimental algorithms
WEA'08 Proceedings of the 7th international conference on Experimental algorithms
When to reap and when to sow - lowering peak usage with realistic batteries
WEA'08 Proceedings of the 7th international conference on Experimental algorithms
A framework for pattern-based global models
IDEAL'09 Proceedings of the 10th international conference on Intelligent data engineering and automated learning
A top-down approach to add hot-pluggable asynchronous devices to RAPIEnet infrastructure
ISCIT'09 Proceedings of the 9th international conference on Communications and information technologies
On securing perimeter coverage in wireless sensor networks
ISCIT'09 Proceedings of the 9th international conference on Communications and information technologies
Learning computer science concepts using iPhone applications
Journal of Computing Sciences in Colleges
U-connect: a low-latency energy-efficient asynchronous neighbor discovery protocol
Proceedings of the 9th ACM/IEEE International Conference on Information Processing in Sensor Networks
Accelerating the neighbor-joining algorithm using the adaptive bucket data structure
ISBRA'08 Proceedings of the 4th international conference on Bioinformatics research and applications
Mining multiple time series co-movements
APWeb'08 Proceedings of the 10th Asia-Pacific web conference on Progress in WWW research and development
Quantum walk based search algorithms
TAMC'08 Proceedings of the 5th international conference on Theory and applications of models of computation
Symmetrical buffer placement in clock trees for minimal skew immune to global on-chip variations
ICCD'09 Proceedings of the 2009 IEEE international conference on Computer design
Framework for massively parallel testing at wafer and package test
ICCD'09 Proceedings of the 2009 IEEE international conference on Computer design
Convergence of intra-domain routing with centralized control
NETWORKING'08 Proceedings of the 7th international IFIP-TC6 networking conference on AdHoc and sensor networks, wireless networks, next generation internet
The CPBT: a method for searching the prefixes using coded prefixes in B-tree
NETWORKING'08 Proceedings of the 7th international IFIP-TC6 networking conference on AdHoc and sensor networks, wireless networks, next generation internet
On the effectiveness of proactive path-diversity based routing for robustness to path failures
NETWORKING'08 Proceedings of the 7th international IFIP-TC6 networking conference on AdHoc and sensor networks, wireless networks, next generation internet
DDoS mitigation in non-cooperative environments
NETWORKING'08 Proceedings of the 7th international IFIP-TC6 networking conference on AdHoc and sensor networks, wireless networks, next generation internet
On automated verification of probabilistic programs
TACAS'08/ETAPS'08 Proceedings of the Theory and practice of software, 14th international conference on Tools and algorithms for the construction and analysis of systems
A polyhedral investigation of the LCS problem and a repetition-free variant
LATIN'08 Proceedings of the 8th Latin American conference on Theoretical informatics
Finding the orthogonal hull of a digital object: a combinatorial approach
IWCIA'08 Proceedings of the 12th international conference on Combinatorial image analysis
A new image segmentation technique using maximum spanning tree
IWCIA'08 Proceedings of the 12th international conference on Combinatorial image analysis
Approximation algorithms for 2-source minimum routing cost k-tree problems
ICCSA'07 Proceedings of the 2007 international conference on Computational science and its applications - Volume Part III
Graph-based profile similarity calculation method and evaluation
ECIR'08 Proceedings of the IR research, 30th European conference on Advances in information retrieval
Model and application of optimal coalition structure
FSKD'09 Proceedings of the 6th international conference on Fuzzy systems and knowledge discovery - Volume 4
Utility-based dynamic resource allocation in multi-user MIMO-OFDMA cellular systems
APCC'09 Proceedings of the 15th Asia-Pacific conference on Communications
A multipath QoS routing protocol in wireless sensor networks
International Journal of Sensor Networks
PLDI '10 Proceedings of the 2010 ACM SIGPLAN conference on Programming language design and implementation
Property-aware program sampling
Proceedings of the 9th ACM SIGPLAN-SIGSOFT workshop on Program analysis for software tools and engineering
Saving space by algebraization
Proceedings of the forty-second ACM symposium on Theory of computing
Maintaining a large matching and a small vertex cover
Proceedings of the forty-second ACM symposium on Theory of computing
Engineering parallel applications with tunable architectures
Proceedings of the 32nd ACM/IEEE International Conference on Software Engineering - Volume 1
Lineage processing over correlated probabilistic databases
Proceedings of the 2010 ACM SIGMOD International Conference on Management of data
I4E: interactive investigation of iterative information extraction
Proceedings of the 2010 ACM SIGMOD International Conference on Management of data
Finding maximum degrees in hidden bipartite graphs
Proceedings of the 2010 ACM SIGMOD International Conference on Management of data
Bed-tree: an all-purpose index structure for string similarity search based on edit distance
Proceedings of the 2010 ACM SIGMOD International Conference on Management of data
Fast reoptimization for the minimum spanning tree problem
Journal of Discrete Algorithms
Segmentation of 4D cardiac MRI: Automated method based on spatio-temporal watershed cuts
Image and Vision Computing
Challenging cloning related problems with GPU-based algorithms
Proceedings of the 4th International Workshop on Software Clones
SPLGraph: towards a graph-based formalism for software product lines
Proceedings of the 2010 ICSE Workshop on Product Line Approaches in Software Engineering
Algorithms for sensor and ad hoc networks: advanced lectures
Algorithms for sensor and ad hoc networks: advanced lectures
Future directions in distributed computing
Theoretical Computer Science
A faster algorithm for the computation of string convolutions using LZ78 parsing
Information Processing Letters
Insights on the design of intml
Presence: Teleoperators and Virtual Environments
Assigning tasks for efficiency in Hadoop: extended abstract
Proceedings of the twenty-second annual ACM symposium on Parallelism in algorithms and architectures
TLRW: return of the read-write lock
Proceedings of the twenty-second annual ACM symposium on Parallelism in algorithms and architectures
Time and quality of 3D rendering process using programming code optimisation techniques
International Journal of Intelligent Information and Database Systems
A randomized O(m log m) time algorithm for computing Reeb graphs of arbitrary simplicial complexes
Proceedings of the twenty-sixth annual symposium on Computational geometry
Optimal reconstruction might be hard
Proceedings of the twenty-sixth annual symposium on Computational geometry
Minimum spanning trees for valley and ridge characterization in digital elevation maps
Proceedings of the 7th International Conference on Computer Graphics, Virtual Reality, Visualisation and Interaction in Africa
Greedy sub-channel redistribution routing scheme in multi-hop wireless OFDMA networks
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Compatibility between optimal tree-based broadcast routing and metric design
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Human behavior and challenges of anonymizing WLAN traces
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
MAC support for wireless multimedia sensor networks
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Large-scale neighbor-joining with NINJA
WABI'09 Proceedings of the 9th international conference on Algorithms in bioinformatics
Automatic discovery of subgoals in reinforcement learning using strongly connected components
ICONIP'08 Proceedings of the 15th international conference on Advances in neuro-information processing - Volume Part I
Theoretical analysis of local search in software testing
SAGA'09 Proceedings of the 5th international conference on Stochastic algorithms: foundations and applications
Repetition-free longest common subsequence
Discrete Applied Mathematics
A general approach for cache-oblivious range reporting and approximate range counting
Computational Geometry: Theory and Applications
Repeated auctions for robust task execution by a robot team
Robotics and Autonomous Systems
On collaborative tracking of a target group using binary proximity sensors
Journal of Parallel and Distributed Computing
Handwritten Arabic text line segmentation using affinity propagation
DAS '10 Proceedings of the 9th IAPR International Workshop on Document Analysis Systems
Emulation platform for network wide traffic sampling and monitoring
Proceedings of the 6th International Wireless Communications and Mobile Computing Conference
Constrained relay node placement in wireless sensor networks: formulation and approximations
IEEE/ACM Transactions on Networking (TON)
Design and field experimentation of an energy-efficient architecture for DTN throwboxes
IEEE/ACM Transactions on Networking (TON)
Distributed cross-layer algorithms for the optimal control of multihop wireless networks
IEEE/ACM Transactions on Networking (TON)
Backbone construction for heterogeneous wireless ad hoc networks
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
A laplace transform-based method to stochastic path finding
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Loop-free forwarding table updates with minimal link overflow
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Adaptive resource allocation for multi-destination relay systems based on OFDM modulation
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
A global-to-local scheme for imbalanced point matching
ICIP'09 Proceedings of the 16th IEEE international conference on Image processing
Hierarchical region-based representation for segmentation and filtering with depth in single images
ICIP'09 Proceedings of the 16th IEEE international conference on Image processing
Analysis of computational time of simple estimation of distribution algorithms
IEEE Transactions on Evolutionary Computation
Automatic reproduction of a genius algorithm: Strassen's algorithm revisited by genetic search
IEEE Transactions on Evolutionary Computation
Hybrid formulation of the equation systems of the 3-D PEEC model based on graph algorithms
IEEE Transactions on Circuits and Systems Part I: Regular Papers
Deterministic robot-network localization is hard
IEEE Transactions on Robotics
Quality-aware bandwidth allocation for scalable on-demand streaming in wireless networks
IEEE Journal on Selected Areas in Communications
Statistical QoS provisionings for wireless unicast/multicast of multi-layer video streams
IEEE Journal on Selected Areas in Communications
An effective method of pruning support vector machine classifiers
IEEE Transactions on Neural Networks
MLeXAI: A Project-Based Application-Oriented Model
ACM Transactions on Computing Education (TOCE)
On accuracy of region based localization algorithms for wireless sensor networks
Computer Communications
The longest almost-increasing subsequence
Information Processing Letters
On exact solutions to the Euclidean bottleneck Steiner tree problem
Information Processing Letters
Exploiting multi-interface networks: Connectivity and Cheapest Paths
Wireless Networks
On the regularity of circular splicing languages: a survey and new developments
Natural Computing: an international journal
An efficient wake-up strategy considering spurious glitches phenomenon for power gating designs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Computation error analysis in digital signal processing systems with overscaled supply voltage
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Techniques to prioritize paths for diagnosis
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Analyzing the behavior of event processing applications
Proceedings of the Fourth ACM International Conference on Distributed Event-Based Systems
IEEE Transactions on Wireless Communications
The benefit of migration in parallel evolutionary algorithms
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Multiobjective evolutionary algorithms for dynamic social network clustering
Proceedings of the 12th annual conference on Genetic and evolutionary computation
The stratified shortest-paths problem
COMSNETS'10 Proceedings of the 2nd international conference on COMmunication systems and NETworks
An efficient algorithm for optimal discrete bit-loading in multicarrier systems
IEEE Transactions on Communications
Truthful least-priced-path routing in opportunistic spectrum access networks
INFOCOM'10 Proceedings of the 29th conference on Information communications
Reliable adaptive multipath provisioning with bandwidth and differential delay constraints
INFOCOM'10 Proceedings of the 29th conference on Information communications
Minimum energy per bit for wideband wireless multicasting: performance of decode-and-forward
INFOCOM'10 Proceedings of the 29th conference on Information communications
The capacity of heterogeneous wireless networks
INFOCOM'10 Proceedings of the 29th conference on Information communications
Frequency-domain packet scheduling for 3GPP LTE uplink
INFOCOM'10 Proceedings of the 29th conference on Information communications
Cooperative bridges: topology control in cooperative wireless ad hoc networks
INFOCOM'10 Proceedings of the 29th conference on Information communications
Some links between extremum spanning forests, watersheds and min-cuts
Image and Vision Computing
Efficient social-aware content placement in opportunistic networks
WONS'10 Proceedings of the 7th international conference on Wireless on-demand network systems and services
Self-taught hashing for fast similarity search
Proceedings of the 33rd international ACM SIGIR conference on Research and development in information retrieval
Neighbor query friendly compression of social networks
Proceedings of the 16th ACM SIGKDD international conference on Knowledge discovery and data mining
Management and optimal distribution of large student numbers
Proceedings of the First Kuwait Conference on e-Services and e-Systems
Cache friendly sparse matrix-vector multiplication
Proceedings of the 4th International Workshop on Parallel and Symbolic Computation
An effective GPU implementation of breadth-first search
Proceedings of the 47th Design Automation Conference
History-based VLSI legalization using network flow
Proceedings of the 47th Design Automation Conference
Proceedings of the 7th International Conference on Frontiers of Information Technology
A graph-theoretic approach to protect static and moving targets from adversaries
Proceedings of the 9th International Conference on Autonomous Agents and Multiagent Systems: volume 1 - Volume 1
Finding approximate competitive equilibria: efficient and fair course allocation
Proceedings of the 9th International Conference on Autonomous Agents and Multiagent Systems: volume 1 - Volume 1
ESPM: An optimized resource distribution policy in virtual user environment
Future Generation Computer Systems
A framework for reliability aware layered multi-cast in lossy networks with network coding
Computer Communications
Multi-objective optimization of multicast overlays for collaborative applications
Computer Networks: The International Journal of Computer and Telecommunications Networking
Adaptive broadcast by fault-tolerant spanning tree switching
Journal of Parallel and Distributed Computing
Proceedings of Graphics Interface 2010
An improved algorithm for the red-blue hitting set problem with the consecutive ones property
Information Processing Letters
Variants of constrained longest common subsequence
Information Processing Letters
IEEE Transactions on Wireless Communications
Optimal Selection of Customers for a Last-Minute Offer
Operations Research
Fast and Compact Web Graph Representations
ACM Transactions on the Web (TWEB)
Management of target-tracking sensor networks
International Journal of Sensor Networks
Soft error modeling and remediation techniques in ASIC designs
Microelectronics Journal
Computers in Biology and Medicine
Ant Colony Optimization based approach for efficient packet filtering in firewall
Applied Soft Computing
Approximate algorithms with generalizing attribute values for k-anonymity
Information Systems
Cache-Oblivious Dynamic Programming for Bioinformatics
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
The role of max-min fairness in DOCSIS 3.0 downstream channel bonding
Sarnoff'10 Proceedings of the 33rd IEEE conference on Sarnoff
A multi-objective approach for throughput optimization and traffic engineering in WDM networks
Asilomar'09 Proceedings of the 43rd Asilomar conference on Signals, systems and computers
High-speed computation of the Kleene star in max-plus algebra using a cell broadband engine
ACE'10 Proceedings of the 9th WSEAS international conference on Applications of computer engineering
A fast computation of the state vector in a class of DES system
ACE'10 Proceedings of the 9th WSEAS international conference on Applications of computer engineering
Planning Large Data Transfers in Institutional Grids
CCGRID '10 Proceedings of the 2010 10th IEEE/ACM International Conference on Cluster, Cloud and Grid Computing
Cell broadband engine processor performance optimization: tracing tools implementation and use
IBM Journal of Research and Development
Indra: an integrated quantitative system for compliance management for IT service delivery
IBM Journal of Research and Development
Journal of Computer and System Sciences
The parameterized complexity of some minimum label problems
Journal of Computer and System Sciences
Towards a dichotomy for the Possible Winner problem in elections based on scoring rules
Journal of Computer and System Sciences
Cloudward bound: planning for beneficial migration of enterprise applications to the cloud
Proceedings of the ACM SIGCOMM 2010 conference
WSEAS Transactions on Computers
Cyber security analysis using attack countermeasure trees
Proceedings of the Sixth Annual Workshop on Cyber Security and Information Intelligence Research
Distance spectral radius of trees with given matching number
Discrete Applied Mathematics
The choice of the best among the shortest routes in transparent optical networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Unified parallel encoding and decoding algorithms for Dandelion-like codes
Journal of Parallel and Distributed Computing
Modelling watermark communication protocols using the CASPER modelling language
Proceedings of the 12th ACM workshop on Multimedia and security
Ordered and unordered algorithms for parallel breadth first search
Proceedings of the 19th international conference on Parallel architectures and compilation techniques
Revisiting sorting for GPGPU stream architectures
Proceedings of the 19th international conference on Parallel architectures and compilation techniques
Effect of sequences on the shape of protein energy landscapes
Proceedings of the First ACM International Conference on Bioinformatics and Computational Biology
The solution space of genome sequence alignment and LIS graph decomposition
Proceedings of the First ACM International Conference on Bioinformatics and Computational Biology
Computational complexity in logic testing
INES'10 Proceedings of the 14th international conference on Intelligent engineering systems
IEEE Transactions on Image Processing
Systematic wireless network coding
MILCOM'09 Proceedings of the 28th IEEE conference on Military communications
Minimum-length scheduling and rate control for time-varying wireless networks
MILCOM'09 Proceedings of the 28th IEEE conference on Military communications
Autonomous routing algorithms for networks with wide-spread failures
MILCOM'09 Proceedings of the 28th IEEE conference on Military communications
An intelligent numerical algorithm for solving system of linear algebraic equations
Proceedings of the 1st Amrita ACM-W Celebration on Women in Computing in India
A program differencing algorithm for verilog HDL
Proceedings of the IEEE/ACM international conference on Automated software engineering
CoSaMP: iterative signal recovery from incomplete and inaccurate samples
Communications of the ACM
Barrier coverage with sensors of limited mobility
Proceedings of the eleventh ACM international symposium on Mobile ad hoc networking and computing
On Computing Backbones of Propositional Theories
Proceedings of the 2010 conference on ECAI 2010: 19th European Conference on Artificial Intelligence
Context-aware cross-layer optimized video streaming in wireless multimedia sensor networks
The Journal of Supercomputing
Optimal routing for decode-forward in cooperative wireless networks
IEEE Transactions on Communications
Memory efficient state-space analysis in software model-checking
ACSC '10 Proceedings of the Thirty-Third Australasian Conferenc on Computer Science - Volume 102
Efficient best path monitoring in road networks for instant local traffic information
ADC '10 Proceedings of the Twenty-First Australasian Conference on Database Technologies - Volume 104
Counting distinct objects over sliding windows
ADC '10 Proceedings of the Twenty-First Australasian Conference on Database Technologies - Volume 104
Object oriented parallelisation of graph algorithms using parallel iterator
AusPDC '10 Proceedings of the Eighth Australasian Symposium on Parallel and Distributed Computing - Volume 107
On the asymptotic behavior of nearest neighbor search using pivot-based indexes
Proceedings of the Third International Conference on SImilarity Search and APplications
Blind maximum likelihood estimation of traffic matrices under long-range dependent traffic
Computer Networks: The International Journal of Computer and Telecommunications Networking
Calibration and low-level data fusion algorithms for a parallel 2D/3D-camera
Information Fusion
Microdata protection through approximate microaggregation
ACSC '09 Proceedings of the Thirty-Second Australasian Conference on Computer Science - Volume 91
Collaborative threads: exposing and leveraging dynamic thread state for efficient computation
HotPar'10 Proceedings of the 2nd USENIX conference on Hot topics in parallelism
VLSI Design - Special issue on selected papers from the midwest symposium on circuits and systems
Transitive node similarity for link prediction in social networks with positive and negative links
Proceedings of the fourth ACM conference on Recommender systems
Mixture model based label association techniques for web accessibility
UIST '10 Proceedings of the 23nd annual ACM symposium on User interface software and technology
International Journal of Internet Protocol Technology
Inference and analysis of formal models of botnet command and control protocols
Proceedings of the 17th ACM conference on Computer and communications security
TASTY: tool for automating secure two-party computations
Proceedings of the 17th ACM conference on Computer and communications security
Note: Random binary search tree with equal elements
Theoretical Computer Science
Computer Vision and Image Understanding
I/O-efficient batched union-find and its applications to terrain analysis
ACM Transactions on Algorithms (TALG)
TESLA: translation evaluation of sentences with linear-programming-based analysis
WMT '10 Proceedings of the Joint Fifth Workshop on Statistical Machine Translation and MetricsMATR
Parallel graph component labelling with GPUs and CUDA
Parallel Computing
A semantic similarity approach to predicting Library of Congress subject headings for social tags
Journal of the American Society for Information Science and Technology
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics
Recursion-driven parallel code generation for multi-core platforms
Proceedings of the Conference on Design, Automation and Test in Europe
Energy-aware routing in hybrid optical network-on-chip for future multi-processor system-on-chip
Proceedings of the 6th ACM/IEEE Symposium on Architectures for Networking and Communications Systems
Novel matrix forms of rough set flow graphs with applications to data integration
Computers & Mathematics with Applications
Light-tree configuration for multicast traffic grooming in WDM mesh networks
Photonic Network Communications
Three 2D-warping schemes for visual robot navigation
Autonomous Robots
Optimal placement of UV-based communications relay nodes
Journal of Global Optimization
Bounding variance and expectation of longest path lengths in DAGs
SODA '10 Proceedings of the twenty-first annual ACM-SIAM symposium on Discrete Algorithms
On the possibility of faster SAT algorithms
SODA '10 Proceedings of the twenty-first annual ACM-SIAM symposium on Discrete Algorithms
Randomized Shellsort: a simple oblivious sorting algorithm
SODA '10 Proceedings of the twenty-first annual ACM-SIAM symposium on Discrete Algorithms
Entity linking leveraging: automatically generated annotation
COLING '10 Proceedings of the 23rd International Conference on Computational Linguistics
Automatic mashup generation from multiple-camera concert recordings
Proceedings of the international conference on Multimedia
Sorted label classifier chains for learning images with multi-label
Proceedings of the international conference on Multimedia
Mining and cropping common objects from images
Proceedings of the international conference on Multimedia
Multiple genome alignment based on longest path in directed acyclic graphs
International Journal of Bioinformatics Research and Applications
Proceedings of the Conference on Design, Automation and Test in Europe
Finding optimal alignment and consensus of circular strings
CPM'10 Proceedings of the 21st annual conference on Combinatorial pattern matching
Finding top-k similar pairs of objects annotated with terms from an ontology
SSDBM'10 Proceedings of the 22nd international conference on Scientific and statistical database management
Collaborative content synchronization through an event-based framework
Proceedings of the 1st ACM international workshop on Connected multimedia
Energy efficient hardware architecture of LU triangularization for MIMO receiver
IEEE Transactions on Circuits and Systems II: Express Briefs
Modeling and simulation of storage space allocation at TPL systems
SpringSim '10 Proceedings of the 2010 Spring Simulation Multiconference
A holistic approach to network-on-chip synthesis
CODES/ISSS '10 Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
A reliable MTD design for MLC flash-memory storage systems
EMSOFT '10 Proceedings of the tenth ACM international conference on Embedded software
Efficient index for retrieving top-k most frequent documents
Journal of Discrete Algorithms
Buffer capacity computation for throughput-constrained modal task graphs
ACM Transactions on Embedded Computing Systems (TECS)
Boosting software fault injection for dependability analysis of real-time embedded applications
ACM Transactions on Embedded Computing Systems (TECS)
ICITS'09 Proceedings of the 4th international conference on Information theoretic security
Exact algorithms for coloring graphs while avoiding monochromatic cycles
AAIM'10 Proceedings of the 6th international conference on Algorithmic aspects in information and management
Additive spanners in nearly quadratic time
ICALP'10 Proceedings of the 37th international colloquium conference on Automata, languages and programming
Testing 2-vertex connectivity and computing pairs of vertex-disjoint s-t paths in digraphs
ICALP'10 Proceedings of the 37th international colloquium conference on Automata, languages and programming
Improved sublinear time algorithm for width-bounded separators
FAW'10 Proceedings of the 4th international conference on Frontiers in algorithmics
O((log n)2) time online approximation schemes for bin packing and subset sum problems
FAW'10 Proceedings of the 4th international conference on Frontiers in algorithmics
A class of Greedy algorithms and its relation to greedoids
ICTAC'10 Proceedings of the 7th International colloquium conference on Theoretical aspects of computing
Shortest cut graph of a surface with prescribed vertex set
ESA'10 Proceedings of the 18th annual European conference on Algorithms: Part II
A path combinational method for multiple pattern matching
Proceedings of the 5th ACM/IEEE Symposium on Architectures for Networking and Communications Systems
Progressive hashing for packet processing using set associative memory
Proceedings of the 5th ACM/IEEE Symposium on Architectures for Networking and Communications Systems
Algorithms and theory of computation handbook
Algorithms and theory of computation handbook
Theory of communication networks
Algorithms and theory of computation handbook
Algorithm design and analysis techniques
Algorithms and theory of computation handbook
Algorithms and theory of computation handbook
A scalable parallel union-find algorithm for distributed memory computers
PPAM'09 Proceedings of the 8th international conference on Parallel processing and applied mathematics: Part I
Multithreaded Asynchronous Graph Traversal for In-Memory and Semi-External Memory
Proceedings of the 2010 ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis
Optimized dense matrix multiplication on a many-core architecture
Euro-Par'10 Proceedings of the 16th international Euro-Par conference on Parallel processing: Part II
Area-maximizing schedules for series-parallel DAGs
Euro-Par'10 Proceedings of the 16th international Euro-Par conference on Parallel processing: Part II
Counting minimum (s, t)-cuts in weighted planar graphs in polynomial time
MFCS'10 Proceedings of the 35th international conference on Mathematical foundations of computer science
Solving MINONES-2-SAT as fast as VERTEX COVER
MFCS'10 Proceedings of the 35th international conference on Mathematical foundations of computer science
Combining logic and probabilities for discovering mappings between taxonomies
KSEM'10 Proceedings of the 4th international conference on Knowledge science, engineering and management
Fixed-parameter algorithm for haplotype inferences on general pedigrees with small number of sites
WABI'10 Proceedings of the 10th international conference on Algorithms in bioinformatics
Generating special-purpose stateless propagators for arbitrary constraints
CP'10 Proceedings of the 16th international conference on Principles and practice of constraint programming
Improvements to a resource analysis for hume
FOPARA'09 Proceedings of the First international conference on Foundational and practical aspects of resource analysis
A graph based framework for clustering and characterization of SOM
ICANN'10 Proceedings of the 20th international conference on Artificial neural networks: Part III
COCOON'10 Proceedings of the 16th annual international conference on Computing and combinatorics
The curse of connectivity: t-total vertex (edge) cover
COCOON'10 Proceedings of the 16th annual international conference on Computing and combinatorics
The longest almost-increasing subsequence
COCOON'10 Proceedings of the 16th annual international conference on Computing and combinatorics
Discovering pairwise compatibility graphs
COCOON'10 Proceedings of the 16th annual international conference on Computing and combinatorics
The violation heap: a relaxed Fibonacci-like heap
COCOON'10 Proceedings of the 16th annual international conference on Computing and combinatorics
COCOON'10 Proceedings of the 16th annual international conference on Computing and combinatorics
Minimum spanning tree on spatio-temporal networks
DEXA'10 Proceedings of the 21st international conference on Database and expert systems applications: Part II
Lag patterns in time series databases
DEXA'10 Proceedings of the 21st international conference on Database and expert systems applications: Part II
Binary coherent edge descriptors
ECCV'10 Proceedings of the 11th European conference on Computer vision: Part II
A continuous max-flow approach to potts model
ECCV'10 Proceedings of the 11th European conference on Computer vision: Part VI
On the number of spanning trees a planar graph can have
ESA'10 Proceedings of the 18th annual European conference on Algorithms: Part I
Mesh repair with user-friendly topology control
Computer-Aided Design
Fitting a two-joint orthogonal chain to a point set
Computational Geometry: Theory and Applications
Covering points by disjoint boxes with outliers
Computational Geometry: Theory and Applications
Handling high-bandwidth traffic aggregates by receiver-driven feedback control
COMPSAC-W'05 Proceedings of the 29th annual international conference on Computer software and applications conference
Pattern sensitive placement perturbation for manufacturability
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Characterizing and defending against divide-conquer-scanning worms
Computer Networks: The International Journal of Computer and Telecommunications Networking
Advanced hashing schemes for packet forwarding using set associative memory architectures
Journal of Parallel and Distributed Computing
Semantic-distance based evaluation of ranking queries over relational databases
Journal of Intelligent Information Systems
Energy aware DAG scheduling on heterogeneous systems
Cluster Computing
Proceedings of the 9th International Workshop on Adaptive and Reflective Middleware
Load-balancing for advance reservation connection rerouting
IEEE Communications Letters
Linear-time nearest point algorithms for coxeter lattices
IEEE Transactions on Information Theory
Joining composition and trimming of finite-state transducers
FSMNLP'09 Proceedings of the 8th international conference on Finite-state methods and natural language processing
Automated design of assemblable, modular, synthetic chromosomes
PPAM'09 Proceedings of the 8th international conference on Parallel processing and applied mathematics: Part II
Factorizing three-way binary data with triadic formal concepts
KES'10 Proceedings of the 14th international conference on Knowledge-based and intelligent information and engineering systems: Part I
Frame based redundant-macro-block error resilient in scalable video coding
PCM'10 Proceedings of the Advances in multimedia information processing, and 11th Pacific Rim conference on Multimedia: Part II
A key management scheme for secure group communication using binomial key trees
International Journal of Network Management
Coalition formation using combined deterministic and evolutionary approach
ACIIDS'10 Proceedings of the Second international conference on Intelligent information and database systems: Part I
An improved lower bound on query complexity for quantum PAC learning
Information Processing Letters
A regression-based approach for mining user movement patterns from random sample data
Data & Knowledge Engineering
Robotics and Autonomous Systems
Battery-aware router scheduling in wireless mesh networks
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Parallel FPGA-based all-pairs shortest-paths in a directed graph
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Mining group-based knowledge flows for sharing task knowledge
Decision Support Systems
iRetILP: an efficient incremental algorithm for min-period retiming under general delay model
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
Obstacle-aware longest path using rectangular pattern detouring in routing grids
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
A global interconnect reduction technique during high level synthesis
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
Computational Optimization and Applications
Efficient Formulations for Exact Stochastic Simulation of Chemical Systems
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
x-RDF-3X: fast querying, high update rates, and consistency for RDF databases
Proceedings of the VLDB Endowment
GRAIL: scalable reachability index for large graphs
Proceedings of the VLDB Endowment
On graph query optimization in large networks
Proceedings of the VLDB Endowment
On multi-column foreign key discovery
Proceedings of the VLDB Endowment
A*-tree: a structure for storage and modeling of uncertain multidimensional arrays
Proceedings of the VLDB Endowment
Identifying, attributing and describing spatial bursts
Proceedings of the VLDB Endowment
Graph homomorphism revisited for graph matching
Proceedings of the VLDB Endowment
Fast local and global similarity searches in large motion capture databases
Proceedings of the 2010 ACM SIGGRAPH/Eurographics Symposium on Computer Animation
Streaming and fully dynamic centralized algorithms for constructing and maintaining sparse spanners
ACM Transactions on Algorithms (TALG)
An algorithm for calculating the similarity measures of surfaces represented as point clouds
Pattern Recognition and Image Analysis
In-network data acquisition and replication in mobile sensor networks
Distributed and Parallel Databases
Decentralized feedback controllers for multiagent teams in environments with obstacles
IEEE Transactions on Robotics
Distributed routing schemes with accessibility consideration in multi-hop wireless networks
IEEE Transactions on Wireless Communications
Power allocation in MMSE relaying over frequency-selective Rayleigh fading channels
IEEE Transactions on Communications
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Linear time analysis of properties of conflict-free and general Petri nets
Theoretical Computer Science
Exact algorithms for computing the tree edit distance between unordered trees
Theoretical Computer Science
Web-based multi-agent system architecture in a dynamic environment
International Journal of Knowledge-based and Intelligent Engineering Systems
Human action recognition in video by 'meaningful' poses
Proceedings of the Seventh Indian Conference on Computer Vision, Graphics and Image Processing
An efficient color image segmentation algorithm using hybrid approaches
Proceedings of the Seventh Indian Conference on Computer Vision, Graphics and Image Processing
Bounded approximate decentralised coordination via the max-sum algorithm
Artificial Intelligence
Classification and Semantic Mapping of Urban Environments
International Journal of Robotics Research
On multidimensional linear cryptanalysis
ACISP'10 Proceedings of the 15th Australasian conference on Information security and privacy
3D reconstruction using an n-layer heightmap
Proceedings of the 32nd DAGM conference on Pattern recognition
A shape analysis for optimizing parallel graph programs
Proceedings of the 38th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Graph based interactive detection of curve structures in 2D fluoroscopy
MICCAI'10 Proceedings of the 13th international conference on Medical image computing and computer-assisted intervention: Part III
Accurate performance estimation for stochastic marked graphs by bottleneck regrowing
EPEW'10 Proceedings of the 7th European performance engineering conference on Computer performance engineering
A component-based solution method for non-ergodic Markov regenerative processes
EPEW'10 Proceedings of the 7th European performance engineering conference on Computer performance engineering
Randomized locality sensitive vocabularies for bag-of-features model
ECCV'10 Proceedings of the 11th European conference on computer vision conference on Computer vision: Part III
Novel definition and algorithm for chaining fragments with proportional overlaps
RECOMB-CG'10 Proceedings of the 2010 international conference on Comparative genomics
Finite automata based algorithms for the generalized constrained longest common subsequence problems
SPIRE'10 Proceedings of the 17th international conference on String processing and information retrieval
Recognizing human actions using NWFE-based histogram vectors
EURASIP Journal on Advances in Signal Processing - Special issue on video analysis for human behavior understanding
Indoor positioning using nonparametric belief propagation based on spanning trees
EURASIP Journal on Wireless Communications and Networking - Special issue on signal processing-assisted protocols and algorithms for cooperating objects and wireless sensor networks
Visualizing logical dependencies in SWRL rule bases
RuleML'10 Proceedings of the 2010 international conference on Semantic web rules
Querying XML data sources that export very large sets of views
ACM Transactions on Database Systems (TODS)
Path-tree: An efficient reachability indexing scheme for large directed graphs
ACM Transactions on Database Systems (TODS)
Buyer-games: Optimization over the core
Theoretical Computer Science
Dominating set based exact algorithms for 3-coloring
Information Processing Letters
Computer Networks: The International Journal of Computer and Telecommunications Networking
Recommendation of similar users, resources and social networks in a Social Internetworking Scenario
Information Sciences: an International Journal
SD3: A Scalable Approach to Dynamic Data-Dependence Profiling
MICRO '43 Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture
Efficient online ad serving in a display advertising exchange
Proceedings of the fourth ACM international conference on Web search and data mining
Planning a day trip on mobile phones with Pi-Pe
Proceedings of the International Conference on Management of Emergent Digital EcoSystems
LUNARES: lunar crater exploration with heterogeneous multi robot systems
Intelligent Service Robotics
Dynamic light trail routing in WDM optical networks
Photonic Network Communications
Optimal decompositions of matrices with grades into binary and graded matrices
Annals of Mathematics and Artificial Intelligence
Fast sparse matrix-vector multiplication on GPUs: implications for graph mining
Proceedings of the VLDB Endowment
IEEE Transactions on Communications
Early-elimination modification for priority-first search decoding
IEEE Transactions on Communications
ICOSSSE '09 Proceedings of the 8th WSEAS international conference on System science and simulation in engineering
Applying PVS background theories and proof strategies in invariant based programming
ICFEM'10 Proceedings of the 12th international conference on Formal engineering methods and software engineering
Efficient computation of the shapley value for centrality in networks
WINE'10 Proceedings of the 6th international conference on Internet and network economics
A fast algorithm for powerful alliances in trees
COCOA'10 Proceedings of the 4th international conference on Combinatorial optimization and applications - Volume Part I
Computing toolpaths for 5-axis NC machines
COCOA'10 Proceedings of the 4th international conference on Combinatorial optimization and applications - Volume Part I
A randomized algorithm for weighted approximation of points by a step function
COCOA'10 Proceedings of the 4th international conference on Combinatorial optimization and applications - Volume Part I
A simpler and more efficient algorithm for the next-to-shortest path problem
COCOA'10 Proceedings of the 4th international conference on Combinatorial optimization and applications - Volume Part II
Ordered vs. unordered: a comparison of parallelism and work-efficiency in irregular algorithms
Proceedings of the 16th ACM symposium on Principles and practice of parallel programming
Position heaps: A simple and dynamic text indexing data structure
Journal of Discrete Algorithms
Evaluation of a new method for measuring the internet degree distribution: Simulation results
Computer Communications
Approximating covering problems by randomized search heuristics using multi-objective models*
Evolutionary Computation
On the effect of populations in evolutionary multi-objective optimisation**
Evolutionary Computation
Guarding a set of line segments in the plane
Theoretical Computer Science
Network-aware meta-scheduling in advance with autonomous self-tuning system
Future Generation Computer Systems
Automated test case prioritization with reactive GRASP
Advances in Software Engineering - Special issue on software test automation
Test data compression using efficient bitmask and dictionary selection methods
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
High-accuracy fixed-width modified booth multipliers for lossy applications
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Self-adaptive resource management for large-scale shared clusters
Journal of Computer Science and Technology
Sequential optimization of matrix chain multiplication relative to different cost functions
SOFSEM'11 Proceedings of the 37th international conference on Current trends in theory and practice of computer science
SIMPAR'10 Proceedings of the Second international conference on Simulation, modeling, and programming for autonomous robots
Decision and coordination strategies for robocup rescue agents
SIMPAR'10 Proceedings of the Second international conference on Simulation, modeling, and programming for autonomous robots
Distance distribution and average shortest path length estimation in real-world networks
ADMA'10 Proceedings of the 6th international conference on Advanced data mining and applications: Part I
LDS: computer-based lesson development system for teaching computer science
USAB'10 Proceedings of the 6th international conference on HCI in work and learning, life and leisure: workgroup human-computer interaction and usability engineering
Disperse or unite? a mathematical model of coordinated attack
GameSec'10 Proceedings of the First international conference on Decision and game theory for security
Optimal authenticated data structures with multilinear forms
Pairing'10 Proceedings of the 4th international conference on Pairing-based cryptography
Selective regular expression matching
ISC'10 Proceedings of the 13th international conference on Information security
C++ metastring library and its applications
GTTSE'09 Proceedings of the 3rd international summer school conference on Generative and transformational techniques in software engineering III
Synthesizing concurrent schedulers for irregular algorithms
Proceedings of the sixteenth international conference on Architectural support for programming languages and operating systems
Complexity, parsing, and factorization of tree-local multi-component tree-adjoining grammar
Computational Linguistics
Register pressure aware scheduling for high level synthesis
Proceedings of the 16th Asia and South Pacific Design Automation Conference
Equivalence checking of scheduling with speculative code transformations in high-level synthesis
Proceedings of the 16th Asia and South Pacific Design Automation Conference
Parallel implementations of Brunotte's algorithm
Journal of Parallel and Distributed Computing
Virtual network provisioning across multiple substrate networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Free lunches on the discrete Lipschitz class
Theoretical Computer Science
An optimal strategy for monitoring top-k queries in streaming windows
Proceedings of the 14th International Conference on Extending Database Technology
TAGs: scalable threshold-based algorithms for proximity computation in graphs
Proceedings of the 14th International Conference on Extending Database Technology
Cysteine and tryptophan anomalies found when scanning all the binding sites in the Protein Data Bank
International Journal of Bioinformatics Research and Applications
Original historical sources in data structures and algorithms courses
Journal of Computing Sciences in Colleges
An efficient algorithm to enable path diversity in link state routing networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
More Algorithms for All-Pairs Shortest Paths in Weighted Graphs
SIAM Journal on Computing
Small-Size $\eps$-Nets for Axis-Parallel Rectangles and Boxes
SIAM Journal on Computing
Computing the Girth of a Planar Graph in $O(n \logn)$ Time
SIAM Journal on Discrete Mathematics
Critical Analysis of the Spanning Tree Techniques
SIAM Journal on Numerical Analysis
Optimization Strategies for the Vulnerability Analysis of the Electric Power Grid
SIAM Journal on Optimization
Sparse Tensor Discretization of Elliptic sPDEs
SIAM Journal on Scientific Computing
IEEE/ACM Transactions on Networking (TON)
Path diversity over packet switched networks: performance analysis and rate allocation
IEEE/ACM Transactions on Networking (TON)
Constructing maximum-lifetime data gathering forests in sensor networks
IEEE/ACM Transactions on Networking (TON)
Spectrum auction framework for access allocation in cognitive radio networks
IEEE/ACM Transactions on Networking (TON)
Design and provisioning of WDM networks with many-to-many traffic grooming
IEEE/ACM Transactions on Networking (TON)
Fast recovery from dual-link or single-node failures in IP networks using tunneling
IEEE/ACM Transactions on Networking (TON)
Crosstalk-preventing scheduling in single-and two-stage AWG-based cell switches
IEEE/ACM Transactions on Networking (TON)
Obstacle-aware length-matching bus routing
Proceedings of the 2011 international symposium on Physical design
Proceedings of the 2011 international symposium on Physical design
Transfer entropy--a model-free measure of effective connectivity for the neurosciences
Journal of Computational Neuroscience
Clock buffer polarity assignment for power noise reduction
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Low-power scan design using first-level supply gating
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
CIAA'10 Proceedings of the 15th international conference on Implementation and application of automata
Modeling sense disambiguation of human pose: recognizing action at a distance by key poses
ACCV'10 Proceedings of the 10th Asian conference on Computer vision - Volume Part I
Fast recovery of weakly textured surfaces from monocular image sequences
ACCV'10 Proceedings of the 10th Asian conference on Computer vision - Volume Part IV
Efficient top-k queries for orthogonal ranges
WALCOM'11 Proceedings of the 5th international conference on WALCOM: algorithms and computation
Clustering with internal connectedness
WALCOM'11 Proceedings of the 5th international conference on WALCOM: algorithms and computation
Hashed patricia trie: efficient longest prefix matching in peer-to-peer systems
WALCOM'11 Proceedings of the 5th international conference on WALCOM: algorithms and computation
SOC test planning using virtual test access architectures
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Faster black-box algorithms through higher arity operators
Proceedings of the 11th workshop proceedings on Foundations of genetic algorithms
Adaptive population models for offspring populations and parallel evolutionary algorithms
Proceedings of the 11th workshop proceedings on Foundations of genetic algorithms
Natural Computing: an international journal
Exploiting Statically Schedulable Regions in Dataflow Programs
Journal of Signal Processing Systems
Preference elicitation in prioritized skyline queries
The VLDB Journal — The International Journal on Very Large Data Bases
On the generalized constrained longest common subsequence problems
Journal of Combinatorial Optimization
Scheduling in Wireless Networks
Foundations and Trends® in Networking
Foundations and Trends in Databases
A driver-layer caching policy for removable storage devices
ACM Transactions on Storage (TOS)
Fast file existence checking in archiving systems
ACM Transactions on Storage (TOS)
Multithreaded Simulation for Synchronous Dataflow Graphs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Relevant Timed Schedules/Clock Vectors for Constructing Time Petri Net Reachability Graphs
Discrete Event Dynamic Systems
Automatically deriving information-theoretic bounds for adaptive side-channel attacks
Journal of Computer Security
Characterizing internet worm infection structure
LEET'11 Proceedings of the 4th USENIX conference on Large-scale exploits and emergent threats
An ontological framework for retrieving environmental sounds using semantics and acoustic content
EURASIP Journal on Audio, Speech, and Music Processing - Special issue on environmental sound synthesis, processing, and retrieval
Functional and dynamic programming in the design of parallel prefix networks
Journal of Functional Programming
To fill or not to fill: The gas station problem
ACM Transactions on Algorithms (TALG)
Coverage problems in sensor networks: A survey
ACM Computing Surveys (CSUR)
On nonmetric similarity search problems in complex domains
ACM Computing Surveys (CSUR)
Quickest path distances on context-free labeled graphs
ISP'07 Proceedings of the 6th WSEAS international conference on Information security and privacy
Mining interlacing manifolds in high dimensional spaces
Proceedings of the 2011 ACM Symposium on Applied Computing
Voronoi-based range query for trajectory data in spatial networks
Proceedings of the 2011 ACM Symposium on Applied Computing
Space-efficient construction of Lempel-Ziv compressed text indexes
Information and Computation
Modified Floyd-Warshall algorithm for risk arbitrage
ICS'10 Proceedings of the 14th WSEAS international conference on Systems: part of the 14th WSEAS CSCC multiconference - Volume I
Structure and attribute index for approximate graph matching in large graphs
Information Systems
Verifying multi-threaded software using smt-based context-bounded model checking
Proceedings of the 33rd International Conference on Software Engineering
Precise identification of problems for structural test generation
Proceedings of the 33rd International Conference on Software Engineering
Efficient connectivity testing of hypercubic networks with faults
IWOCA'10 Proceedings of the 21st international conference on Combinatorial algorithms
Dictionary-symbolwise flexible parsing
IWOCA'10 Proceedings of the 21st international conference on Combinatorial algorithms
When is a type refinement an inductive type?
FOSSACS'11/ETAPS'11 Proceedings of the 14th international conference on Foundations of software science and computational structures: part of the joint European conferences on theory and practice of software
Practical loop transformations for tensor contraction expressions on multi-level memory hierarchies
CC'11/ETAPS'11 Proceedings of the 20th international conference on Compiler construction: part of the joint European conferences on theory and practice of software
A new memory based variable-length encoding genetic algorithm for multiobjective optimization
EMO'11 Proceedings of the 6th international conference on Evolutionary multi-criterion optimization
GRACE: a generational randomized ACO for the multi-objective shortest path problem
EMO'11 Proceedings of the 6th international conference on Evolutionary multi-criterion optimization
International Journal of Sensor Networks
Journal of Parallel and Distributed Computing
Online algorithms for advance resource reservations
Journal of Parallel and Distributed Computing
Minimum spanning tree based split-and-merge: A hierarchical clustering method
Information Sciences: an International Journal
Query optimization techniques for partitioned tables
Proceedings of the 2011 ACM SIGMOD International Conference on Management of data
Location-aware type ahead search on spatial databases: semantics and efficiency
Proceedings of the 2011 ACM SIGMOD International Conference on Management of data
Proceedings of the 2011 ACM SIGMOD International Conference on Management of data
Interaction between record matching and data repairing
Proceedings of the 2011 ACM SIGMOD International Conference on Management of data
Scheduling irregular parallel computations on hierarchical caches
Proceedings of the twenty-third annual ACM symposium on Parallelism in algorithms and architectures
Optimizing protein importance assessment through a Dijkstra-based sequential optimization technique
NNECFSIC'12 Proceedings of the 12th WSEAS international conference on Neural networks, fuzzy systems, evolutionary computing & automation
Dimensionality reduction on multi-dimensional transfer functions for multi-channel volume data sets
Information Visualization - Special issue on selected papers from visualization and data analysis 2010
Reliability analysis and optimization of power-gated ICs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
The tao of parallelism in algorithms
Proceedings of the 32nd ACM SIGPLAN conference on Programming language design and implementation
Extracting hot spots of topics from time-stamped documents
Data & Knowledge Engineering
Computer Networks: The International Journal of Computer and Telecommunications Networking
A sketching interface for feature curve recovery of free-form surfaces
Computer-Aided Design
SMI 2011: Full Paper: An approach to automated decomposition of volumetric mesh
Computers and Graphics
Proceedings of the Nineteenth International Workshop on Quality of Service
QoS routing algorithms using fully polynomial time approximation scheme
Proceedings of the Nineteenth International Workshop on Quality of Service
Efficient approximate top-k query algorithm using cube index
APWeb'11 Proceedings of the 13th Asia-Pacific web conference on Web technologies and applications
Genetic algorithm based QoS-aware service compositions in cloud computing
DASFAA'11 Proceedings of the 16th international conference on Database systems for advanced applications: Part II
Handling ER-topk query on uncertain streams
DASFAA'11 Proceedings of the 16th international conference on Database systems for advanced applications - Volume Part I
Efficient topological OLAP on information networks
DASFAA'11 Proceedings of the 16th international conference on Database systems for advanced applications - Volume Part I
Comments on Harn---Lin's cheating detection scheme
Designs, Codes and Cryptography
Event correlation for process discovery from web service interaction logs
The VLDB Journal — The International Journal on Very Large Data Bases
A survey of methods for constructing covering arrays
Programming and Computing Software
Proceedings of the twenty-seventh annual symposium on Computational geometry
An output-sensitive algorithm for persistent homology
Proceedings of the twenty-seventh annual symposium on Computational geometry
Proceedings of the twenty-seventh annual symposium on Computational geometry
Answer-pairs and processing of continuous nearest-neighbor queries
FOMC '11 Proceedings of the 7th ACM ACM SIGACT/SIGMOBILE International Workshop on Foundations of Mobile Computing
Linear and sublinear time algorithms for the basis of abelian groups
Theoretical Computer Science
Efficient Risk Estimation via Nested Sequential Simulation
Management Science
Partial convex recolorings of trees and galled networks: Tight upper and lower bounds
ACM Transactions on Algorithms (TALG)
LDAG: a new model for grid workflow applications
WSEAS Transactions on Computers
Adaptive random testing: an illusion of effectiveness?
Proceedings of the 2011 International Symposium on Software Testing and Analysis
On the effectiveness of crossover for migration in parallel evolutionary algorithms
Proceedings of the 13th annual conference on Genetic and evolutionary computation
Fitness-levels for non-elitist populations
Proceedings of the 13th annual conference on Genetic and evolutionary computation
Robot routing in sparse wireless sensor networks with continuous ant colony optimization
Proceedings of the 13th annual conference companion on Genetic and evolutionary computation
Resizable, scalable, concurrent hash tables via relativistic programming
USENIXATC'11 Proceedings of the 2011 USENIX conference on USENIX annual technical conference
Stratified B-trees and versioned dictionaries
HotStorage'11 Proceedings of the 3rd USENIX conference on Hot topics in storage and file systems
gStore: answering SPARQL queries via subgraph matching
Proceedings of the VLDB Endowment
Bellman's GAP: a declarative language for dynamic programming
Proceedings of the 13th international ACM SIGPLAN symposium on Principles and practices of declarative programming
IO connection assignment and RDL routing for flip-chip designs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Fast algorithms for determining (generalized) core groups in social networks
Advances in Data Analysis and Classification
Optimization of heuristic search using recursive algorithm selection and reinforcement learning
Annals of Mathematics and Artificial Intelligence
Shift-and-merge technique for the DP solution of the time-constrained backpacker problem
Computers and Operations Research
LEMON - an Open Source C++ Graph Template Library
Electronic Notes in Theoretical Computer Science (ENTCS)
Linear programming for Bernstein based solvers
ADG'08 Proceedings of the 7th international conference on Automated deduction in geometry
Compressed string dictionaries
SEA'11 Proceedings of the 10th international conference on Experimental algorithms
Beyond unit propagation in SAT solving
SEA'11 Proceedings of the 10th international conference on Experimental algorithms
An iterative refinement algorithm for the minimum branch vertices problem
SEA'11 Proceedings of the 10th international conference on Experimental algorithms
A novel scalable IPv6 lookup scheme using compressed pipelined tries
NETWORKING'11 Proceedings of the 10th international IFIP TC 6 conference on Networking - Volume Part I
A real elementary approach to the master recurrence and generalizations
TAMC'11 Proceedings of the 8th annual conference on Theory and applications of models of computation
Near approximation of maximum weight matching through efficient weight reduction
TAMC'11 Proceedings of the 8th annual conference on Theory and applications of models of computation
Automatic segmentation of abdominal adipose tissue in MRI
SCIA'11 Proceedings of the 17th Scandinavian conference on Image analysis
A new algorithm for linear and integer feasibility in horn constraints
CPAIOR'11 Proceedings of the 8th international conference on Integration of AI and OR techniques in constraint programming for combinatorial optimization problems
Identifying irreducible loops in the Instrumentation Point Graph
Journal of Systems Architecture: the EUROMICRO Journal
Composite hashing with multiple information sources
Proceedings of the 34th international ACM SIGIR conference on Research and development in Information Retrieval
Analysis of temporal evolution of social networks
Journal of Mobile Multimedia
Using the NXT as an educational tool in computer science classes
Proceedings of the 49th Annual Southeast Regional Conference
Parallelizing the merge sorting network algorithm on a multi-core computer using Go and Cilk
Proceedings of the 49th Annual Southeast Regional Conference
Graph coloring: color sequences and algorithm for color sequence
Proceedings of the 49th Annual Southeast Regional Conference
Limited mobility coverage and connectivity maintenance protocols for wireless sensor networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Rounding coefficients and artificially underflowing terms in non-numeric expressions
ACM Communications in Computer Algebra
Pruning hardware evaluation space via correlation-driven application similarity analysis
Proceedings of the 8th ACM International Conference on Computing Frontiers
A panoply of quantum algorithms
Quantum Information & Computation
Algorithmic feasibility of entity recognition in artificial life
ECAL'09 Proceedings of the 10th European conference on Advances in artificial life: Darwin meets von Neumann - Volume Part II
Mining maximal co-located event sets
PAKDD'11 Proceedings of the 15th Pacific-Asia conference on Advances in knowledge discovery and data mining - Volume Part I
Efficient matching of biological sequences allowing for non-overlapping inversions
CPM'11 Proceedings of the 22nd annual conference on Combinatorial pattern matching
Inter-datacenter bulk transfers with netstitcher
Proceedings of the ACM SIGCOMM 2011 conference
Practical Oblivious Outsourced Storage
ACM Transactions on Information and System Security (TISSEC)
A binary graph reduction algorithm for multi-constrained QoS routing
Proceedings of the Second Asia-Pacific Symposium on Internetware
Learning Latent Tree Graphical Models
The Journal of Machine Learning Research
An algorithm for optimal acyclic edge-colouring of cubic graphs
FAW-AAIM'11 Proceedings of the 5th joint international frontiers in algorithmics, and 7th international conference on Algorithmic aspects in information and management
PAISI'11 Proceedings of the 6th Pacific Asia conference on Intelligence and security informatics
Gene regulatory network properties linked to gene expression dynamics in spatially extended systems
ECAL'09 Proceedings of the 10th European conference on Advances in artificial life: Darwin meets von Neumann - Volume Part I
INSIGHT: efficient and effective instance selection for time-series classification
PAKDD'11 Proceedings of the 15th Pacific-Asia conference on Advances in knowledge discovery and data mining - Volume Part II
An integrated algorithm for 3D-IC TSV assignment
Proceedings of the 48th Design Automation Conference
A high-order feature synthesis and selection algorithm applied to insurance risk modelling
International Journal of Business Intelligence and Data Mining
A parallel bi-objective hybrid metaheuristic for energy-aware scheduling for cloud computing systems
Journal of Parallel and Distributed Computing
On the representation of a digital contour with an unordered point set for visual perception
Journal of Visual Communication and Image Representation
Wireless sensor deployment for collaborative sensing with mobile phones
Computer Networks: The International Journal of Computer and Telecommunications Networking
Comparing machine learning approaches for context-aware composition
SC'11 Proceedings of the 10th international conference on Software composition
Generic fully simulatable adaptive oblivious transfer
ACNS'11 Proceedings of the 9th international conference on Applied cryptography and network security
Clustering with local restrictions
ICALP'11 Proceedings of the 38th international colloquim conference on Automata, languages and programming - Volume Part I
MACE: model-inference-assisted concolic exploration for protocol and vulnerability discovery
SEC'11 Proceedings of the 20th USENIX conference on Security
Discovery of probabilistic mappings between taxonomies: principles and experiments
Journal on data semantics XV
Optimizing the layout of proportional symbol maps
ICCSA'11 Proceedings of the 2011 international conference on Computational science and its applications - Volume Part III
An improved training algorithm for the linear ranking support vector machine
ICANN'11 Proceedings of the 21th international conference on Artificial neural networks - Volume Part I
Identifying nuclear phenotypes using semi-supervised metric learning
IPMI'11 Proceedings of the 22nd international conference on Information processing in medical imaging
Improving the algorithm 2 in multidimensional linear cryptanalysis
ACISP'11 Proceedings of the 16th Australasian conference on Information security and privacy
Multiple mobile data offloading through delay tolerant networks
CHANTS '11 Proceedings of the 6th ACM workshop on Challenged networks
The 10th International Conference on Autonomous Agents and Multiagent Systems - Volume 2
A model for continuous query latencies in data streams
Proceedings of the First International Workshop on Algorithms and Models for Distributed Event Processing
Malware analysis with tree automata inference
CAV'11 Proceedings of the 23rd international conference on Computer aided verification
A memetic immunological algorithm for resource allocation problem
ICARIS'11 Proceedings of the 10th international conference on Artificial immune systems
The topology aware file distribution problem
COCOON'11 Proceedings of the 17th annual international conference on Computing and combinatorics
Assessing the computational benefits of AREA-oriented DAG-scheduling
Euro-Par'11 Proceedings of the 17th international conference on Parallel processing - Volume Part I
Performance analysis of a dual-tree algorithm for computing spatial distance histograms
The VLDB Journal — The International Journal on Very Large Data Bases
Queueing Systems: Theory and Applications
Efficient evaluation of k-NN queries using spatial mashups
SSTD'11 Proceedings of the 12th international conference on Advances in spatial and temporal databases
From geography to medicine: exploring innerspace via spatial and temporal databases
SSTD'11 Proceedings of the 12th international conference on Advances in spatial and temporal databases
The two variable per inequality abstract domain
Higher-Order and Symbolic Computation
Quantitative evaluation of time-dependent Petri nets and applications to biochemical networks
Natural Computing: an international journal
GAPs: Geospatial Abduction Problems
ACM Transactions on Intelligent Systems and Technology (TIST)
Understanding, Manipulating and Searching Hand-Drawn Concept Maps
ACM Transactions on Intelligent Systems and Technology (TIST)
Optimized multi constrained path quality of service routing protocol
WSEAS Transactions on Information Science and Applications
High-quality tactile paintings
Journal on Computing and Cultural Heritage (JOCCH)
Evolutionary algorithms and dynamic programming
Theoretical Computer Science
Sequenced spatiotemporal aggregation for coarse query granularities
The VLDB Journal — The International Journal on Very Large Data Bases
The REG summarization system with question reformulation at QA@INEX track 2010
INEX'10 Proceedings of the 9th international conference on Initiative for the evaluation of XML retrieval: comparative evaluation of focused retrieval
Comparing approaches to the similarity of musical chord sequences
CMMR'10 Proceedings of the 7th international conference on Exploring music contents
A Tabu search heuristic based on k-diamonds for the weighted feedback vertex set problem
INOC'11 Proceedings of the 5th international conference on Network optimization
Star-block design in two-level survivable optical networks
IEEE/ACM Transactions on Networking (TON)
Towards systematic design of enterprise networks
IEEE/ACM Transactions on Networking (TON)
Estimating cell count and distribution in labeled histological samples using incremental cell search
Journal of Biomedical Imaging
Joint mobile energy replenishment and data gathering in wireless rechargeable sensor networks
Proceedings of the 23rd International Teletraffic Congress
Efficient computation of queries on feature streams
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
Domical cooperative caching for streaming media in wireless home networks
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
Counting subset sums of finite abelian groups
Journal of Combinatorial Theory Series A
Analysis of Heuristic Graph Partitioning Methods for the Assignment of Packet Control Units in GERAN
Wireless Personal Communications: An International Journal
Efficient solutions for a problem in currency systems
AICI'11 Proceedings of the Third international conference on Artificial intelligence and computational intelligence - Volume Part I
The maximum flow problem with minimum lot sizes
ICCL'11 Proceedings of the Second international conference on Computational logistics
Fast and robust generation of city-scale seamless 3D urban models
Computer-Aided Design
Synthesis of first-order dynamic programming algorithms
Proceedings of the 2011 ACM international conference on Object oriented programming systems languages and applications
Stationary solution approximation using a memory-efficient perfect sampling technique
Proceedings of the 44th Annual Simulation Symposium
Randomized Shellsort: A Simple Data-Oblivious Sorting Algorithm
Journal of the ACM (JACM)
On the power of global reward signals in reinforcement learning
MATES'11 Proceedings of the 9th German conference on Multiagent system technologies
Top-K possible shortest path query over a large uncertain graph
WISE'11 Proceedings of the 12th international conference on Web information system engineering
Approximate point set pattern matching with Lp-norm
SPIRE'11 Proceedings of the 18th international conference on String processing and information retrieval
Determining possible and necessary winners under common voting rules given partial orders
Journal of Artificial Intelligence Research
A probabilistic framework for learning kinematic models of articulated objects
Journal of Artificial Intelligence Research
On computing the minimum 3-path vertex cover and dissociation number of graphs
Theoretical Computer Science
Information Sciences: an International Journal
Embedded software security through key-based control flow obfuscation
InfoSecHiComNet'11 Proceedings of the First international conference on Security aspects in information technology
A fast solver for modeling the evolution of virus populations
Proceedings of 2011 International Conference for High Performance Computing, Networking, Storage and Analysis
Workload-aware indexing for keyword search in social networks
Proceedings of the 20th ACM international conference on Information and knowledge management
Skynets: searching for minimum trees in graphs with incomparable edge weights
Proceedings of the 20th ACM international conference on Information and knowledge management
Future Generation Computer Systems
AC-Suffix-Tree: Buffer Free String Matching on Out-of-Sequence Packets
Proceedings of the 2011 ACM/IEEE Seventh Symposium on Architectures for Networking and Communications Systems
Towards end-to-end routing for periodic mobile objects
Proceedings of the first ACM international symposium on Design and analysis of intelligent vehicular networks and applications
Numbering action vertices in workflow graphs
International Journal of Applied Mathematics and Computer Science
Topological Patterns for Scalable Representation and Analysis of Dataflow Graphs
Journal of Signal Processing Systems
Low order-value approach for solving VaR-constrained optimization problems
Journal of Global Optimization
The multiple sequence sets: problem and heuristic algorithms
Journal of Combinatorial Optimization
The Maximum Box Problem for moving points in the plane
Journal of Combinatorial Optimization
Recognizing interaction between human performers using 'key pose doublet'
MM '11 Proceedings of the 19th ACM international conference on Multimedia
Model feasible interactions in distributed real-time systems
Formal modeling
Trust management languages and complexity
OTM'11 Proceedings of the 2011th Confederated international conference on On the move to meaningful internet systems - Volume Part II
Quantitatively fair scheduling
Theoretical Computer Science
Linear-Time Algorithms for Geometric Graphs with Sublinearly Many Edge Crossings
SIAM Journal on Computing
Refinement and Connectivity Algorithms for Adaptive Discontinuous Galerkin Methods
SIAM Journal on Scientific Computing
Gallai's Theorem for List Coloring of Digraphs
SIAM Journal on Discrete Mathematics
Efficient Stream Sampling for Variance-Optimal Estimation of Subset Sums
SIAM Journal on Computing
On the relation between answer set and sat procedures (or, between cmodels and smodels)
ICLP'05 Proceedings of the 21st international conference on Logic Programming
Transparent run-time prevention of format-string attacks via dynamic taint and flexible validation
ISC'06 Proceedings of the 9th international conference on Information Security
Clustered chain path index for XML document: efficiently processing branch queries
WISE'06 Proceedings of the 7th international conference on Web Information Systems
General public key m-out-of-n oblivious transfer
CIS'04 Proceedings of the First international conference on Computational and Information Science
Mode-directed fixed point computation
LPNMR'05 Proceedings of the 8th international conference on Logic Programming and Nonmonotonic Reasoning
The string barcoding problem is NP-Hard
RCG'05 Proceedings of the 2005 international conference on Comparative Genomics
Clique percolation method for finding naturally cohesive and overlapping document clusters
ICCPOL'06 Proceedings of the 21st international conference on Computer Processing of Oriental Languages: beyond the orient: the research challenges ahead
Parallel support graph preconditioners
HiPC'06 Proceedings of the 13th international conference on High Performance Computing
Lock-free dynamically resizable arrays
OPODIS'06 Proceedings of the 10th international conference on Principles of Distributed Systems
Throughput aware mapping for network on chip design of h.264 decoder
ISPA'06 Proceedings of the 2006 international conference on Frontiers of High Performance Computing and Networking
Adaptive Scheduling on Power-Aware Managed Data-Centers Using Machine Learning
GRID '11 Proceedings of the 2011 IEEE/ACM 12th International Conference on Grid Computing
Temporally integrated pedestrian detection from non-stationary video
MMM'07 Proceedings of the 13th international conference on Multimedia Modeling - Volume Part I
OTIS-MOT: an efficient interconnection network for parallel processing
The Journal of Supercomputing
Multi-objective community detection in complex networks
Applied Soft Computing
EG-ICE'06 Proceedings of the 13th international conference on Intelligent Computing in Engineering and Architecture
Detection and resolution of anomalies in firewall policy rules
DBSEC'06 Proceedings of the 20th IFIP WG 11.3 working conference on Data and Applications Security
Policy classes and query rewriting algorithm for XML security views
DBSEC'06 Proceedings of the 20th IFIP WG 11.3 working conference on Data and Applications Security
A convex-hull based algorithm to connect the maximal independent set in unit-disk graphs
WASA'06 Proceedings of the First international conference on Wireless Algorithms, Systems, and Applications
Distributed resource allocation for stream data processing
HPCC'06 Proceedings of the Second international conference on High Performance Computing and Communications
Heterogeneous qos multicast and its improvement on edge-based overlay networks
HPCC'06 Proceedings of the Second international conference on High Performance Computing and Communications
Hierarchical stereo matching: from foreground to background
ACIVS'06 Proceedings of the 8th international conference on Advanced Concepts For Intelligent Vision Systems
An implicit representation of chordal comparabilty graphs in linear-time
WG'06 Proceedings of the 32nd international conference on Graph-Theoretic Concepts in Computer Science
A fully dynamic algorithm for the recognition of P4-sparse graphs
WG'06 Proceedings of the 32nd international conference on Graph-Theoretic Concepts in Computer Science
Point-based trust: define how much privacy is worth
ICICS'06 Proceedings of the 8th international conference on Information and Communications Security
Riemannian manifold learning for nonlinear dimensionality reduction
ECCV'06 Proceedings of the 9th European conference on Computer Vision - Volume Part I
Lists revisited: cache conscious STL lists
WEA'06 Proceedings of the 5th international conference on Experimental Algorithms
Using mathematics to improve ada compiled code
Ada-Europe'06 Proceedings of the 11th Ada-Europe international conference on Reliable Software Technologies
Filtering for private collaborative benchmarking
ETRICS'06 Proceedings of the 2006 international conference on Emerging Trends in Information and Communication Security
Approximate methods for constrained total variation minimization
CSR'06 Proceedings of the First international computer science conference on Theory and Applications
Privacy-preserving group data access via stateless oblivious RAM simulation
Proceedings of the twenty-third annual ACM-SIAM symposium on Discrete Algorithms
Capturing topology in graph pattern matching
Proceedings of the VLDB Endowment
Computing the least median of squares estimator in time O(nd)
ICCSA'05 Proceedings of the 2005 international conference on Computational Science and its Applications - Volume Part I
Reduce SW/HW migration efforts by a RTOS in Multi-FPGA systems
CSCWD'05 Proceedings of the 9th international conference on Computer Supported Cooperative Work in Design II
A precise metric for measuring how much web pages change
DASFAA'06 Proceedings of the 11th international conference on Database Systems for Advanced Applications
Adapting prime number labeling scheme for directed acyclic graphs
DASFAA'06 Proceedings of the 11th international conference on Database Systems for Advanced Applications
Distribution-Sensitive construction of minimum-redundancy prefix codes
STACS'06 Proceedings of the 23rd Annual conference on Theoretical Aspects of Computer Science
Self-stabilizing space optimal synchronization algorithms on trees
SIROCCO'06 Proceedings of the 13th international conference on Structural Information and Communication Complexity
Sorting by merging or merging by sorting?
SWAT'06 Proceedings of the 10th Scandinavian conference on Algorithm Theory
Minimum membership set covering and the consecutive ones property
SWAT'06 Proceedings of the 10th Scandinavian conference on Algorithm Theory
The weighted maximum-mean subtree and other bicriterion subtree problems
SWAT'06 Proceedings of the 10th Scandinavian conference on Algorithm Theory
Towards faster linear-sized nets for axis-aligned boxes in the plane
JCDCG'04 Proceedings of the 2004 Japanese conference on Discrete and Computational Geometry
Maximum-Size subgraphs of p4-sparse graphs admitting a perfect matching
PCI'05 Proceedings of the 10th Panhellenic conference on Advances in Informatics
Learning to generate an ontology-based nursing care plan by virtual collaboration
OTM'05 Proceedings of the 2005 OTM Confederated international conference on On the Move to Meaningful Internet Systems
Isothetic polygonal approximations of a 2d object on generalized grid
PReMI'05 Proceedings of the First international conference on Pattern Recognition and Machine Intelligence
Fast computation of large distributions and its cryptographic applications
ASIACRYPT'05 Proceedings of the 11th international conference on Theory and Application of Cryptology and Information Security
Further reflections on a theory for basic algorithms
AAIM'06 Proceedings of the Second international conference on Algorithmic Aspects in Information and Management
The approximability of the exemplar breakpoint distance problem
AAIM'06 Proceedings of the Second international conference on Algorithmic Aspects in Information and Management
Weighted broadcast in linear radio networks
AAIM'06 Proceedings of the Second international conference on Algorithmic Aspects in Information and Management
Property matching and weighted matching
CPM'06 Proceedings of the 17th Annual conference on Combinatorial Pattern Matching
A simpler analysis of burrows-wheeler based compression
CPM'06 Proceedings of the 17th Annual conference on Combinatorial Pattern Matching
New algorithms for text fingerprinting
CPM'06 Proceedings of the 17th Annual conference on Combinatorial Pattern Matching
Computation platform for automatic analysis of embedded software systems using model based approach
ATVA'05 Proceedings of the Third international conference on Automated Technology for Verification and Analysis
Efficient processing of ranked queries with sweeping selection
PKDD'05 Proceedings of the 9th European conference on Principles and Practice of Knowledge Discovery in Databases
K-plet and coupled BFS: a graph based fingerprint representation and matching algorithm
ICB'06 Proceedings of the 2006 international conference on Advances in Biometrics
An automatic mapping from statecharts to verilog
ICTAC'04 Proceedings of the First international conference on Theoretical Aspects of Computing
A SAT-based decision procedure for the boolean combination of difference constraints
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Union-find with constant time deletions
ICALP'05 Proceedings of the 32nd international conference on Automata, Languages and Programming
Optimal in-place sorting of vectors and records
ICALP'05 Proceedings of the 32nd international conference on Automata, Languages and Programming
Deterministic constructions of approximate distance oracles and spanners
ICALP'05 Proceedings of the 32nd international conference on Automata, Languages and Programming
Cache-aware and cache-oblivious adaptive sorting
ICALP'05 Proceedings of the 32nd international conference on Automata, Languages and Programming
Randomized fast design of short DNA words
ICALP'05 Proceedings of the 32nd international conference on Automata, Languages and Programming
Extensions and variations on construction of autoreplicators in typogenetics
ECAL'05 Proceedings of the 8th European conference on Advances in Artificial Life
Exploring an unknown graph efficiently
ESA'05 Proceedings of the 13th annual European conference on Algorithms
Oblivious vs. distribution-based sorting: an experimental evaluation
ESA'05 Proceedings of the 13th annual European conference on Algorithms
Small stretch spanners on dynamic graphs
ESA'05 Proceedings of the 13th annual European conference on Algorithms
Improving text similarity measurement by critical sentence vector model
AIRS'05 Proceedings of the Second Asia conference on Asia Information Retrieval Technology
Iterative CKY parsing for probabilistic context-free grammars
IJCNLP'04 Proceedings of the First international joint conference on Natural Language Processing
Zero-Clairvoyant scheduling with inter-period constraints
ASIAN'04 Proceedings of the 9th Asian Computing Science conference on Advances in Computer Science: dedicated to Jean-Louis Lassez on the Occasion of His 5th Cycle Birthday
Barrier coverage in camera sensor networks
MobiHoc '11 Proceedings of the Twelfth ACM International Symposium on Mobile Ad Hoc Networking and Computing
UNFOLD: uniform fast on-line boundary detection for dynamic 3D wireless sensor networks
MobiHoc '11 Proceedings of the Twelfth ACM International Symposium on Mobile Ad Hoc Networking and Computing
MSR-NLP entry in BioNLP Shared Task 2011
BioNLP Shared Task '11 Proceedings of the BioNLP Shared Task 2011 Workshop
From graphs to events: a subgraph matching approach for information extraction from biomedical text
BioNLP Shared Task '11 Proceedings of the BioNLP Shared Task 2011 Workshop
Running time analysis of Ant Colony Optimization for shortest path problems
Journal of Discrete Algorithms
Journal of Parallel and Distributed Computing
Computing convex quadrangulations
Discrete Applied Mathematics
Intervals of balanced binary trees in the Tamari lattice
Theoretical Computer Science
A local level-set method using a hash table data structure
Journal of Computational Physics
Medical event coreference resolution using the UMLS metathesaurus and temporal reasoning
Proceedings of the 2nd ACM SIGHIT International Health Informatics Symposium
Local search algorithm for unicost set covering problem
IEA/AIE'06 Proceedings of the 19th international conference on Advances in Applied Artificial Intelligence: industrial, Engineering and Other Applications of Applied Intelligent Systems
Natural document clustering by clique percolation in random graphs
AIRS'06 Proceedings of the Third Asia conference on Information Retrieval Technology
A compressed self-index using a ziv-lempel dictionary
SPIRE'06 Proceedings of the 13th international conference on String Processing and Information Retrieval
RNA multiple structural alignment with longest common subsequences
COCOON'05 Proceedings of the 11th annual international conference on Computing and Combinatorics
Algorithmic and complexity issues of three clustering methods in microarray data analysis
COCOON'05 Proceedings of the 11th annual international conference on Computing and Combinatorics
String coding of trees with locality and heritability
COCOON'05 Proceedings of the 11th annual international conference on Computing and Combinatorics
Finding longest increasing and common subsequences in streaming data
COCOON'05 Proceedings of the 11th annual international conference on Computing and Combinatorics
Efficient algorithms for simplifying flow networks
COCOON'05 Proceedings of the 11th annual international conference on Computing and Combinatorics
Server supported routing: a novel architecture and protocol to support inter-vehicular communication
MSN'05 Proceedings of the First international conference on Mobile Ad-hoc and Sensor Networks
Worst and best information exposure paths in wireless sensor networks
MSN'05 Proceedings of the First international conference on Mobile Ad-hoc and Sensor Networks
Retargetable machine-description system: multi-layer architecture approach
GCC'05 Proceedings of the 4th international conference on Grid and Cooperative Computing
Portraying algorithms with leonardo web
WISE'05 Proceedings of the 2005 international conference on Web Information Systems Engineering
Designing on-chip network based on optimal latency criteria
ICESS'05 Proceedings of the Second international conference on Embedded Software and Systems
On optimal and efficient in place merging
SOFSEM'06 Proceedings of the 32nd conference on Current Trends in Theory and Practice of Computer Science
Editing distances between membrane structures
WMC'05 Proceedings of the 6th international conference on Membrane Computing
Robustness in motion averaging
ACCV'06 Proceedings of the 7th Asian conference on Computer Vision - Volume Part II
Fast nearest neighbor search on road networks
EDBT'06 Proceedings of the 10th international conference on Advances in Database Technology
Multi-query SQL progress indicators
EDBT'06 Proceedings of the 10th international conference on Advances in Database Technology
Fast computation of reachability labeling for large graphs
EDBT'06 Proceedings of the 10th international conference on Advances in Database Technology
Efficient evolutionary approaches for the data ordering problem with inversion
EuroGP'06 Proceedings of the 2006 international conference on Applications of Evolutionary Computing
Quantifying the BGP routes diversity inside a tier-1 network
NETWORKING'06 Proceedings of the 5th international IFIP-TC6 conference on Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communications Systems
Antilope—A Lagrangian Relaxation Approach to the de novo Peptide Sequencing Problem
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Output-Sensitive Algorithms for Finding the Nested Common Intervals of Two General Sequences
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Computation of si nanowire bandstructures on parallel machines through domain decomposition
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part I
MVRC heuristic for solving the multi-choice multi-constraint knapsack problem
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part I
Optimal seeding of self-reproducing systems
Artificial Life
An index calculus algorithm for plane curves of small degree
ANTS'06 Proceedings of the 7th international conference on Algorithmic Number Theory
A region-based approach to stereo matching for USAR
RoboCup 2005
Of hammers and nails: an empirical comparison of three paradigms for processing large graphs
Proceedings of the fifth ACM international conference on Web search and data mining
Face Recognition from Caption-Based Supervision
International Journal of Computer Vision
Can quantum entanglement detection schemes improve search?
Quantum Information Processing
Mining spatial colocation patterns: a different framework
Data Mining and Knowledge Discovery
On the construction of k-connected m-dominating sets in wireless networks
Journal of Combinatorial Optimization
Analog Integrated Circuits and Signal Processing
The VLDB Journal — The International Journal on Very Large Data Bases
Optimization of route planning and exploration using multi agent system
Multimedia Tools and Applications
Relay shift based self-deployment for mobility limited sensor networks
UIC'06 Proceedings of the Third international conference on Ubiquitous Intelligence and Computing
Lower bounds and parameterized approach for longest common subsequence
COCOON'06 Proceedings of the 12th annual international conference on Computing and Combinatorics
MAX-SNP hardness and approximation of selected-internal steiner trees
COCOON'06 Proceedings of the 12th annual international conference on Computing and Combinatorics
Overlap-Free regular languages
COCOON'06 Proceedings of the 12th annual international conference on Computing and Combinatorics
SNR-based bit allocation in video quality smoothing
PCM'06 Proceedings of the 7th Pacific Rim conference on Advances in Multimedia Information Processing
In search of optimal codes for DNA computing
DNA'06 Proceedings of the 12th international conference on DNA Computing
Phoenix: an epidemic approach to time reconstruction
EWSN'10 Proceedings of the 7th European conference on Wireless Sensor Networks
Dynamic skyline queries in large graphs
DASFAA'10 Proceedings of the 15th international conference on Database Systems for Advanced Applications - Volume Part II
Estimating the influence of documents in IR systems: a marked indexing approach
ICCSA'10 Proceedings of the 2010 international conference on Computational Science and Its Applications - Volume Part IV
String matching with mismatches by real-valued FFT
ICCSA'10 Proceedings of the 2010 international conference on Computational Science and Its Applications - Volume Part IV
LATIN'10 Proceedings of the 9th Latin American conference on Theoretical Informatics
Gateway decompositions for constrained reachability problems
SEA'10 Proceedings of the 9th international conference on Experimental Algorithms
Geometric minimum spanning trees with GEOFILTERKRUSKAL*
SEA'10 Proceedings of the 9th international conference on Experimental Algorithms
Integrating CSP decomposition techniques and BDDs for compiling configuration problems
CPAIOR'05 Proceedings of the Second international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Multi-source trees: algorithms for minimizing eccentricity cost metrics
ISAAC'05 Proceedings of the 16th international conference on Algorithms and Computation
Efficient longest common subsequence computation using bulk-synchronous parallelism
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part V
XML-based e-barter system for circular supply exchange
DEXA'05 Proceedings of the 16th international conference on Database and Expert Systems Applications
Reliably, securely and efficiently distributing electronic content using multicasting
EC-Web'05 Proceedings of the 6th international conference on E-Commerce and Web Technologies
Robust nonlinear dimension reduction: a self-organizing approach
FSKD'05 Proceedings of the Second international conference on Fuzzy Systems and Knowledge Discovery - Volume Part II
An adaptive routing strategy based on dynamic cache in mobile ad hoc networks
ISPA'04 Proceedings of the Second international conference on Parallel and Distributed Processing and Applications
QoSRHMM: a QoS-aware ring-based hierarchical multi-path multicast routing protocol
ISPA'04 Proceedings of the Second international conference on Parallel and Distributed Processing and Applications
An efficient fault-tolerant approach for MPLS network systems
ISPA'04 Proceedings of the Second international conference on Parallel and Distributed Processing and Applications
On the complexity of error explanation
VMCAI'05 Proceedings of the 6th international conference on Verification, Model Checking, and Abstract Interpretation
Efficiently verifiable conditions for deadlock-freedom of large concurrent programs
VMCAI'05 Proceedings of the 6th international conference on Verification, Model Checking, and Abstract Interpretation
On the complexity of time-dependent shortest paths
Proceedings of the twenty-second annual ACM-SIAM symposium on Discrete Algorithms
Ordered and unordered top-K range reporting in large data sets
Proceedings of the twenty-second annual ACM-SIAM symposium on Discrete Algorithms
Survivable network design problems in wireless networks
Proceedings of the twenty-second annual ACM-SIAM symposium on Discrete Algorithms
Algebraic algorithms for linear matroid parity problems
Proceedings of the twenty-second annual ACM-SIAM symposium on Discrete Algorithms
Proceedings of the twenty-second annual ACM-SIAM symposium on Discrete Algorithms
Recent research development in flip-chip routing
Proceedings of the International Conference on Computer-Aided Design
CPM'05 Proceedings of the 16th annual conference on Combinatorial Pattern Matching
On the minimum common integer partition problem
CIAC'06 Proceedings of the 6th Italian conference on Algorithms and Complexity
Suffix trays and suffix trists: structures for faster text indexing
ICALP'06 Proceedings of the 33rd international conference on Automata, Languages and Programming - Volume Part I
New algorithms for regular expression matching
ICALP'06 Proceedings of the 33rd international conference on Automata, Languages and Programming - Volume Part I
Guarantees for the success frequency of an algorithm for finding dodgson-election winners
MFCS'06 Proceedings of the 31st international conference on Mathematical Foundations of Computer Science
In-Route skyline querying for location-based services
W2GIS'04 Proceedings of the 4th international conference on Web and Wireless Geographical Information Systems
HID: an efficient path index for complex XML collections with arbitrary links
DNIS'05 Proceedings of the 4th international conference on Databases in Networked Information Systems
A novel ant colony based qos-aware routing algorithm for MANETs
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part III
The convex subclass method: combinatorial classifier based on a family of convex sets
MLDM'05 Proceedings of the 4th international conference on Machine Learning and Data Mining in Pattern Recognition
Competitive collaborative learning
COLT'05 Proceedings of the 18th annual conference on Learning Theory
New algorithms for statistical analysis of interval data
PARA'04 Proceedings of the 7th international conference on Applied Parallel Computing: state of the Art in Scientific Computing
Data authenticity and availability in multihop wireless sensor networks
ACM Transactions on Sensor Networks (TOSN)
ACM Transactions on Sensor Networks (TOSN)
Automatic verification of pointer programs using grammar-based shape analysis
ESOP'05 Proceedings of the 14th European conference on Programming Languages and Systems
Cooperative content replication in networks with autonomous nodes
Computer Communications
Optimal hypergraph tree-realization
WG'05 Proceedings of the 31st international conference on Graph-Theoretic Concepts in Computer Science
Actor garbage collection using vertex-preserving actor-to-object graph transformations
GPC'10 Proceedings of the 5th international conference on Advances in Grid and Pervasive Computing
GPC'10 Proceedings of the 5th international conference on Advances in Grid and Pervasive Computing
Approximation algorithm for directed multicuts
WAOA'04 Proceedings of the Second international conference on Approximation and Online Algorithms
High-level restructuring of TTCN-3 test data
FATES'04 Proceedings of the 4th international conference on Formal Approaches to Software Testing
WADS'05 Proceedings of the 9th international conference on Algorithms and Data Structures
Parameterized complexity of generalized vertex cover problems
WADS'05 Proceedings of the 9th international conference on Algorithms and Data Structures
The minimum-area spanning tree problem
WADS'05 Proceedings of the 9th international conference on Algorithms and Data Structures
All-pairs shortest paths with real weights in O(n3/ log n) time
WADS'05 Proceedings of the 9th international conference on Algorithms and Data Structures
Tradeoffs between branch mispredictions and comparisons for sorting algorithms
WADS'05 Proceedings of the 9th international conference on Algorithms and Data Structures
Subquadratic algorithms for 3SUM
WADS'05 Proceedings of the 9th international conference on Algorithms and Data Structures
OpenCL memory infrastructure for FPGAs (abstract only)
Proceedings of the ACM/SIGDA international symposium on Field Programmable Gate Arrays
Proceedings of the 17th ACM SIGPLAN symposium on Principles and Practice of Parallel Programming
Concurrent tries with efficient non-blocking snapshots
Proceedings of the 17th ACM SIGPLAN symposium on Principles and Practice of Parallel Programming
Internally deterministic parallel algorithms can be fast
Proceedings of the 17th ACM SIGPLAN symposium on Principles and Practice of Parallel Programming
A lock-free, array-based priority queue
Proceedings of the 17th ACM SIGPLAN symposium on Principles and Practice of Parallel Programming
Protein annotation by secondary structure based alignments (PASSTA)
CompLife'05 Proceedings of the First international conference on Computational Life Sciences
Ortholog clustering on a multipartite graph
WABI'05 Proceedings of the 5th International conference on Algorithms in Bioinformatics
Semantic hierarchy refactoring by abstract interpretation
VMCAI'06 Proceedings of the 7th international conference on Verification, Model Checking, and Abstract Interpretation
Totally clairvoyant scheduling with relative timing constraints
VMCAI'06 Proceedings of the 7th international conference on Verification, Model Checking, and Abstract Interpretation
Processing ranked queries with the minimum space
FoIKS'06 Proceedings of the 4th international conference on Foundations of Information and Knowledge Systems
Simulation of d′-dimensional cellular automata on d-dimensional cellular automata
ACRI'06 Proceedings of the 7th international conference on Cellular Automata for Research and Industry
Watershed segmentation with chamfer metric
DGCI'06 Proceedings of the 13th international conference on Discrete Geometry for Computer Imagery
Minimal decomposition of a digital surface into digital plane segments is NP-Hard
DGCI'06 Proceedings of the 13th international conference on Discrete Geometry for Computer Imagery
High-Performance heuristics for optimization in stochastic traffic engineering problems
LSSC'09 Proceedings of the 7th international conference on Large-Scale Scientific Computing
Application-driven node management in multihop wireless sensor networks
ICN'05 Proceedings of the 4th international conference on Networking - Volume Part I
Green-Marl: a DSL for easy and efficient graph analysis
ASPLOS XVII Proceedings of the seventeenth international conference on Architectural Support for Programming Languages and Operating Systems
Heuristic acceleration of routing in transportation simulations using GPUs
Proceedings of the 4th International ICST Conference on Simulation Tools and Techniques
From GIS to mixed traffic simulation in urban scenarios
Proceedings of the 4th International ICST Conference on Simulation Tools and Techniques
Efficient parallelization of the Method of Moments for queueing networks using multi-modular algebra
Proceedings of the 5th International ICST Conference on Performance Evaluation Methodologies and Tools
Multipath routing algorithms for congestion minimization
NETWORKING'05 Proceedings of the 4th IFIP-TC6 international conference on Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communication Systems
A polynomial time solvable formulation of multiple sequence alignment
RECOMB'05 Proceedings of the 9th Annual international conference on Research in Computational Molecular Biology
Peptide sequence tags for fast database search in mass-spectrometry
RECOMB'05 Proceedings of the 9th Annual international conference on Research in Computational Molecular Biology
A hybrid heuristic algorithm for the rectangular packing problem
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part I
Constant time generation of linear extensions
FCT'05 Proceedings of the 15th international conference on Fundamentals of Computation Theory
Structure-Based resilience metrics for service-oriented networks
EDCC'05 Proceedings of the 5th European conference on Dependable Computing
A comparison of simulated annealing with a simple evolutionary algorithm
FOGA'05 Proceedings of the 8th international conference on Foundations of Genetic Algorithms
Partitioning graphs to speed up dijkstra's algorithm
WEA'05 Proceedings of the 4th international conference on Experimental and Efficient Algorithms
Algorithm engineering for optimal graph bipartization
WEA'05 Proceedings of the 4th international conference on Experimental and Efficient Algorithms
A practical minimal perfect hashing method
WEA'05 Proceedings of the 4th international conference on Experimental and Efficient Algorithms
On feedback vertex set new measure and new structures
SWAT'10 Proceedings of the 12th Scandinavian conference on Algorithm Theory
Representing a functional curve by curves with fewer peaks
SWAT'10 Proceedings of the 12th Scandinavian conference on Algorithm Theory
Capacity constrained routing algorithms for evacuation planning: a summary of results
SSTD'05 Proceedings of the 9th international conference on Advances in Spatial and Temporal Databases
High performance multimodal networks
SSTD'05 Proceedings of the 9th international conference on Advances in Spatial and Temporal Databases
Main memory implementations for binary grouping
XSym'05 Proceedings of the Third international conference on Database and XML Technologies
Detecting and understanding students' misconceptions related to algorithms and data structures
Proceedings of the 43rd ACM technical symposium on Computer Science Education
Recognizing HHD-free and welsh-powell opposition graphs
WG'04 Proceedings of the 30th international conference on Graph-Theoretic Concepts in Computer Science
WG'04 Proceedings of the 30th international conference on Graph-Theoretic Concepts in Computer Science
Winner determination in voting trees with incomplete preferences and weighted votes
Autonomous Agents and Multi-Agent Systems
Mapping Parameterized Cyclo-static Dataflow Graphs onto Configurable Hardware
Journal of Signal Processing Systems
Crossover can provably be useful in evolutionary computation
Theoretical Computer Science
Analysis of an iterated local search algorithm for vertex cover in sparse random graphs
Theoretical Computer Science
Some results on approximate 1-median selection in metric spaces
Theoretical Computer Science
A refactoring method for cache-efficient swarm intelligence algorithms
Information Sciences: an International Journal
A highly available spectrum allocation service model in dynamic spectrum market
Future Generation Computer Systems
Fault tolerance analysis of mesh networks with uniform versus nonuniform node failure probability
Information Processing Letters
Outfix-free regular languages and prime outfix-free decomposition
ICTAC'05 Proceedings of the Second international conference on Theoretical Aspects of Computing
Information disclosure by XPath queries
SDM'06 Proceedings of the Third VLDB international conference on Secure Data Management
Greedy localization and color-coding: improved matching and packing algorithms
IWPEC'06 Proceedings of the Second international conference on Parameterized and Exact Computation
On the effective enumerability of NP problems
IWPEC'06 Proceedings of the Second international conference on Parameterized and Exact Computation
Heuristic search for the analysis of graph transition systems
ICGT'06 Proceedings of the Third international conference on Graph Transformations
FORTE'05 Proceedings of the 25th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Stressing is better than relaxing for negative cost cycle detection in networks
ADHOC-NOW'05 Proceedings of the 4th international conference on Ad-Hoc, Mobile, and Wireless Networks
Policy-Based fault management for integrating IP over optical networks
IPOM'05 Proceedings of the 5th IEEE international conference on Operations and Management in IP-Based Networks
A local adaptive algorithm for microaneurysms detection in digital fundus images
CVBIA'05 Proceedings of the First international conference on Computer Vision for Biomedical Image Applications
HBAC: a model for history-based access control and its model checking
ESORICS'06 Proceedings of the 11th European conference on Research in Computer Security
A spatiotemporal model of strategies and counter strategies for location privacy protection
GIScience'06 Proceedings of the 4th international conference on Geographic Information Science
An information retrieval model based on discrete fourier transform
IRFC'10 Proceedings of the First international Information Retrieval Facility conference on Adbances in Multidisciplinary Retrieval
Combined detection model for criminal network detection
PAISI'10 Proceedings of the 2010 Pacific Asia conference on Intelligence and Security Informatics
A characterization of combined traces using labeled stratified order structures
PETRI NETS'10 Proceedings of the 31st international conference on Applications and Theory of Petri Nets
Subdivision termination criteria in subdivision multivariate solvers
GMP'06 Proceedings of the 4th international conference on Geometric Modeling and Processing
Efficient broadcast encryption scheme with log-key storage
FC'06 Proceedings of the 10th international conference on Financial Cryptography and Data Security
Fractional matching via balls-and-bins
APPROX'06/RANDOM'06 Proceedings of the 9th international conference on Approximation Algorithms for Combinatorial Optimization Problems, and 10th international conference on Randomization and Computation
Operational determinism and fast algorithms
CONCUR'06 Proceedings of the 17th international conference on Concurrency Theory
A randomized numerical aligner (rNA)
LATA'10 Proceedings of the 4th international conference on Language and Automata Theory and Applications
Algorithms and Applications
A pebble game for internet-based computing
Theoretical Computer Science
The edge-orientation problem and some of its variants on weighted graphs
Information Sciences: an International Journal
Space efficient deep packet inspection of compressed web traffic
Computer Communications
Discrete Applied Mathematics
The bottleneck tree alignment problems
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part III
On optimizing the security-throughput trade-off in wireless networks with adversaries
ACNS'06 Proceedings of the 4th international conference on Applied Cryptography and Network Security
Exemplar longest common subsequence
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part II
Using the web as a reuse repository
ICSR'06 Proceedings of the 9th international conference on Reuse of Off-the-Shelf Components
Round-trip voronoi diagrams and doubling density in geographic networks
Transactions on Computational Science XIV
Poketree: a dynamically competitive data structure with good worst-case performance
ISAAC'06 Proceedings of the 17th international conference on Algorithms and Computation
ISAAC'06 Proceedings of the 17th international conference on Algorithms and Computation
A new model for classifying DNA code inspired by neural networks and FSA
PKAW'06 Proceedings of the 9th Pacific Rim Knowledge Acquisition international conference on Advances in Knowledge Acquisition and Management
Optimisation problems based on the maximal breach path measure for wireless sensor network coverage
ICDCIT'06 Proceedings of the Third international conference on Distributed Computing and Internet Technology
Distributed node-based transmission power control for wireless ad hoc networks
ICDCIT'06 Proceedings of the Third international conference on Distributed Computing and Internet Technology
A static parallel multifrontal solver for finite element meshes
ISPA'06 Proceedings of the 4th international conference on Parallel and Distributed Processing and Applications
An efficient key assignment scheme for access control in a hierarchy
ICISS'06 Proceedings of the Second international conference on Information Systems Security
PACE: polygonal approximation of thick digital curves using cellular envelope
ICVGIP'06 Proceedings of the 5th Indian conference on Computer Vision, Graphics and Image Processing
A randomized algorithm for online unit clustering
WAOA'06 Proceedings of the 4th international conference on Approximation and Online Algorithms
Evaluation of arabic machine translation system based on the universal networking language
NLDB'09 Proceedings of the 14th international conference on Applications of Natural Language to Information Systems
Drawing hamiltonian cycles with no large angles
GD'09 Proceedings of the 17th international conference on Graph Drawing
On planar supports for hypergraphs
GD'09 Proceedings of the 17th international conference on Graph Drawing
Fast depth map compression and meshing with compressed tritree
ACCV'09 Proceedings of the 9th Asian conference on Computer Vision - Volume Part II
Factorizing three-way ordinal data using triadic formal concepts
FQAS'11 Proceedings of the 9th international conference on Flexible Query Answering Systems
An efficient coq tactic for deciding kleene algebras
ITP'10 Proceedings of the First international conference on Interactive Theorem Proving
A grid based system for closure computation and online service
ICA3PP'10 Proceedings of the 10th international conference on Algorithms and Architectures for Parallel Processing - Volume Part II
A set correlation model for partitional clustering
PAKDD'10 Proceedings of the 14th Pacific-Asia conference on Advances in Knowledge Discovery and Data Mining - Volume Part I
A subdivision approach to planar semi-algebraic sets
GMP'10 Proceedings of the 6th international conference on Advances in Geometric Modeling and Processing
CPAIOR'10 Proceedings of the 7th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Learning probabilistic description logics: a framework and algorithms
MICAI'11 Proceedings of the 10th Mexican international conference on Advances in Artificial Intelligence - Volume Part I
Computing mobile agent routes with node-wise constraints in distributed communication systems
MICAI'11 Proceedings of the 10th Mexican international conference on Advances in Artificial Intelligence - Volume Part I
A fuzzy based approach to measure completeness of an entity-relationship model
ER'05 Proceedings of the 24th international conference on Perspectives in Conceptual Modeling
N-gram similarity and distance
SPIRE'05 Proceedings of the 12th international conference on String Processing and Information Retrieval
Multi-robot learning for continuous area sweeping
LAMAS'05 Proceedings of the First international conference on Learning and Adaption in Multi-Agent Systems
A relation-algebraic view on evolutionary algorithms for some graph problems
EvoCOP'06 Proceedings of the 6th European conference on Evolutionary Computation in Combinatorial Optimization
RECOMB'06 Proceedings of the 10th annual international conference on Research in Computational Molecular Biology
Trace-Based memory aliasing across program versions
FASE'06 Proceedings of the 9th international conference on Fundamental Approaches to Software Engineering
Fast frontier detection for robot exploration
AAMAS'11 Proceedings of the 10th international conference on Advanced Agent Technology
Towards consistency oblivious programming
OPODIS'11 Proceedings of the 15th international conference on Principles of Distributed Systems
Preserving query privacy in urban sensing systems
ICDCN'12 Proceedings of the 13th international conference on Distributed Computing and Networking
Proceedings of the 50th Annual Southeast Regional Conference
HMM-based characterization of channel behavior for networked control systems
Proceedings of the 1st international conference on High Confidence Networked Systems
Efficient data representation of large job schedules
MEMICS'11 Proceedings of the 7th international conference on Mathematical and Engineering Methods in Computer Science
On the round-trip 1-center and 1-median problems
WALCOM'12 Proceedings of the 6th international conference on Algorithms and computation
MIWAI'11 Proceedings of the 5th international conference on Multi-Disciplinary Trends in Artificial Intelligence
Dynamic range selection in linear space
ISAAC'11 Proceedings of the 22nd international conference on Algorithms and Computation
Behavior capture with acting graph: a knowledgebase for a game AI system
DNIS'11 Proceedings of the 7th international conference on Databases in Networked Information Systems
On the probabilistic min spanning tree Problem
Journal of Mathematical Modelling and Algorithms
iMapReduce: A Distributed Computing Framework for Iterative Computation
Journal of Grid Computing
Information Sciences: an International Journal
International Journal of Network Management
Topological value iteration algorithms
Journal of Artificial Intelligence Research
Drake: an efficient executive for temporal plans with choice
Journal of Artificial Intelligence Research
Using scribble gestures to enhance editing behaviors of sketch recognition systems
CHI '12 Extended Abstracts on Human Factors in Computing Systems
An efficient algorithm for multi-layer obstacle-avoiding rectilinear Steiner tree construction
Proceedings of the 49th Annual Design Automation Conference
Static dataflow with access patterns: semantics and analysis
Proceedings of the 49th Annual Design Automation Conference
A semi-dynamical approach for solving qualitative spatial constraint satisfaction problems
Theoretical Computer Science
On the approximability of Dodgson and Young elections
Artificial Intelligence
Energy efficient online routing of flows with additive constraints
Computer Networks: The International Journal of Computer and Telecommunications Networking
The multi-inter-distance constraint
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume One
Survey: Linear Temporal Logic Symbolic Model Checking
Computer Science Review
SecTTS: A secure track & trace system for RFID-enabled supply chains
Computers in Industry
CCGRID '12 Proceedings of the 2012 12th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (ccgrid 2012)
Run-time generation of partial FPGA configurations for subword operations
Microprocessors & Microsystems
Virtual indexing based methods for estimating node connection degrees
Computer Networks: The International Journal of Computer and Telecommunications Networking
SIAM Journal on Scientific Computing
Preventing arithmetic overflows in alloy
ABZ'12 Proceedings of the Third international conference on Abstract State Machines, Alloy, B, VDM, and Z
On scheduling dag s for volatile computing platforms: Area-maximizing schedules
Journal of Parallel and Distributed Computing
An accurate sparse-matrix based framework for statistical static timing analysis
Integration, the VLSI Journal
Scheduling tasks with exponential duration on unrelated parallel machines
Discrete Applied Mathematics
A Scheduling Strategy for Synchronous Elastic Designs
Fundamenta Informaticae - Application of Concurrency to System Design, the Eighth Special Issue
Data node encrypted file system: efficient secure deletion for flash memory
Security'12 Proceedings of the 21st USENIX conference on Security symposium
Fundamenta Informaticae
Outfix-Free Regular Languages and Prime Outfix-Free Decomposition
Fundamenta Informaticae
Calculating graph algorithms for dominance and shortest path
MPC'12 Proceedings of the 11th international conference on Mathematics of Program Construction
Taming the mobile data deluge with drop zones
IEEE/ACM Transactions on Networking (TON)
Journal of Systems Architecture: the EUROMICRO Journal
On achieving semi-supervised pattern recognition by utilizing tree-based SOMs
Pattern Recognition
The binary perfect phylogeny with persistent characters
Theoretical Computer Science
Data-parallel mesh connected components labeling and analysis
EG PGV'11 Proceedings of the 11th Eurographics conference on Parallel Graphics and Visualization
Optimized product data transmission in LOD-based collaborative design using P2P CAD streaming
Journal of Intelligent Manufacturing
Design philosophy of particle system animated on graphics accelerator
Pattern Recognition and Image Analysis
Algorithms for generating ordered solutions for explicit and/or structures
Journal of Artificial Intelligence Research
Green: reducing, reusing and recycling constraints in program analysis
Proceedings of the ACM SIGSOFT 20th International Symposium on the Foundations of Software Engineering
Finding many optimal paths without growing any optimal path trees
COCOON'07 Proceedings of the 13th annual international conference on Computing and Combinatorics
On computing the centroid of the vertices of an arrangement and related problems
WADS'07 Proceedings of the 10th international conference on Algorithms and Data Structures
A robust scalable cluster-based multi-hop routing protocol for wireless sensor networks
ISPA'07 Proceedings of the 5th international conference on Parallel and Distributed Processing and Applications
Fast approximate convex decomposition using relative concavity
Computer-Aided Design
Parallel algorithms for Sn transport sweeps on unstructured meshes
Journal of Computational Physics
New kid on the block: exploring the google+ social graph
Proceedings of the 2012 ACM conference on Internet measurement conference
Tangent bundle curve completion with locally connected parallel networks
Neural Computation
Reachability in graph timelines
Proceedings of the 4th conference on Innovations in Theoretical Computer Science
The exact distance to destination in undirected world
The VLDB Journal — The International Journal on Very Large Data Bases
Time Automaton: A visual mechanism for temporal querying
Journal of Visual Languages and Computing
Reconstructing species-based dynamics from reduced stochastic rule-based models
Proceedings of the Winter Simulation Conference
On architecture warehouses and software intelligence
FGIT'12 Proceedings of the 4th international conference on Future Generation Information Technology
A Transformation Technique for Scheduling Broadcast Programs of Multiple-Item Queries
International Journal of Grid and High Performance Computing
Quality of Service for Multimedia and Real-Time Services
International Journal of Information Technology and Web Engineering
A Transformation Technique for Scheduling Broadcast Programs of Multiple-Item Queries
International Journal of Grid and High Performance Computing
Fast learning of restricted regular expressions and DTDs
Proceedings of the 16th International Conference on Database Theory
Sparse hashing for fast multimedia search
ACM Transactions on Information Systems (TOIS)
Robotics and Autonomous Systems
A runtime analysis of simple hyper-heuristics: to mix or not to mix operators
Proceedings of the twelfth workshop on Foundations of genetic algorithms XII
Proceedings of the 15th annual conference on Genetic and evolutionary computation
I/O efficient: computing SCCs in massive graphs
Proceedings of the 2013 ACM SIGMOD International Conference on Management of Data
Shortest path and distance queries on road networks: towards bridging theory and practice
Proceedings of the 2013 ACM SIGMOD International Conference on Management of Data
Simulation of database-valued markov chains using SimSQL
Proceedings of the 2013 ACM SIGMOD International Conference on Management of Data
Work-efficient matrix inversion in polylogarithmic time
Proceedings of the twenty-fifth annual ACM symposium on Parallelism in algorithms and architectures
Exploiting user clicks for automatic seed set generation for entity matching
Proceedings of the 19th ACM SIGKDD international conference on Knowledge discovery and data mining
Thermal-aware sensor scheduling for distributed estimation
ACM Transactions on Sensor Networks (TOSN)
On-line construction of position heaps
Journal of Discrete Algorithms
Scheduling for register file energy minimization in explicit datapath architectures
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Proceedings of the 51st ACM Southeast Conference
The constrained shortest common supersequence problem
Journal of Discrete Algorithms
Swendsen-Wang multi-cluster algorithm for the 2D/3D Ising model on Xeon Phi and GPU
SC '13 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
Integrating dynamic pricing of electricity into energy aware scheduling for HPC systems
SC '13 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
Proceedings of the International Conference on Bioinformatics, Computational Biology and Biomedical Informatics
Using maximum coverage to optimize recommendation systems in e-commerce
Proceedings of the 7th ACM conference on Recommender systems
Splitting for rare event simulation in biochemical systems
Proceedings of the 6th International ICST Conference on Simulation Tools and Techniques
Simulating large topologies in ns-3 using BRITE and CUDA driven global routing
Proceedings of the 6th International ICST Conference on Simulation Tools and Techniques
Partition configuration for real-time systems with dependencies
Proceedings of the 21st International conference on Real-Time Networks and Systems
A comparative analysis of algorithms for dynamic web services composition with quality of service
Proceedings of the 19th Brazilian symposium on Multimedia and the web
Expandable process networks to efficiently specify and explore task, data, and pipeline parallelism
Proceedings of the 2013 International Conference on Compilers, Architectures and Synthesis for Embedded Systems
EVA: an efficient vision architecture for mobile systems
Proceedings of the 2013 International Conference on Compilers, Architectures and Synthesis for Embedded Systems
Improving the efficiency of multi-site web search engines
Proceedings of the 7th ACM international conference on Web search and data mining
Message blinding method requiring no multiplicative inversion for RSA
ACM Transactions on Embedded Computing Systems (TECS)
An efficient compiler framework for cache bypassing on GPUs
Proceedings of the International Conference on Computer-Aided Design
A memory access model for highly-threaded many-core architectures
Future Generation Computer Systems
Performance optimality enhancement algorithm in DDBS (POEA)
Computers in Human Behavior
Runtime analysis of the (1+1) EA on computing unique input output sequences
Information Sciences: an International Journal
A visualization framework for team sports captured using multiple static cameras
Computer Vision and Image Understanding
Journal of Parallel and Distributed Computing
Fast shortest-paths algorithms in the presence of few destinations of negative-weight arcs
Journal of Discrete Algorithms
A Survey of Parallel and Distributed Algorithms for the Steiner Tree Problem
International Journal of Parallel Programming
IEEE/ACM Transactions on Networking (TON)
Defeasible inheritance-based description logics
Journal of Artificial Intelligence Research
M-cluster and X-ray: Two methods for multi-jammer localization in wireless sensor networks
Integrated Computer-Aided Engineering
EuroVis '13 Proceedings of the 15th Eurographics Conference on Visualization
Hi-index | 0.25 |
From the Publisher:The updated new edition of the classic Introduction to Algorithms is intended primarily for use in undergraduate or graduate courses in algorithms or data structures. Like the first edition,this text can also be used for self-study by technical professionals since it discusses engineering issues in algorithm design as well as the mathematical aspects. In its new edition,Introduction to Algorithms continues to provide a comprehensive introduction to the modern study of algorithms. The revision has been updated to reflect changes in the years since the book's original publication. New chapters on the role of algorithms in computing and on probabilistic analysis and randomized algorithms have been included. Sections throughout the book have been rewritten for increased clarity,and material has been added wherever a fuller explanation has seemed useful or new information warrants expanded coverage. As in the classic first edition,this new edition of Introduction to Algorithms presents a rich variety of algorithms and covers them in considerable depth while making their design and analysis accessible to all levels of readers. Further,the algorithms are presented in pseudocode to make the book easily accessible to students from all programming language backgrounds. Each chapter presents an algorithm,a design technique,an application area,or a related topic. The chapters are not dependent on one another,so the instructor can organize his or her use of the book in the way that best suits the course's needs. Additionally,the new edition offers a 25% increase over the first edition in the number of problems,giving the book 155 problems and over 900 exercises thatreinforcethe concepts the students are learning.