Task coupling and cohesion in Ada
ACM SIGAda Ada Letters
Animated graphical interfaces using temporal constraints
CHI '86 Proceedings of the SIGCHI Conference on Human Factors in Computing Systems
A programming environment for CSP
SDE 2 Proceedings of the second ACM SIGSOFT/SIGPLAN software engineering symposium on Practical software development environments
An assessment of the overhead associated with tasking facilities and task paradigms in Ada
ACM SIGAda Ada Letters
ACM SIGPLAN Notices
A Modula-2 implementation of CSP
ACM SIGPLAN Notices
Nested transactions and read-write locking
PODS '87 Proceedings of the sixth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Constraint-based tools for building user interfaces
ACM Transactions on Graphics (TOG) - Special issue on user interface software
A comparison of concurrent languages: a class project
SIGCSE '87 Proceedings of the eighteenth SIGCSE technical symposium on Computer science education
Parlog86 and the dining logicians
Communications of the ACM
Transaction processing primitives and CSP
IBM Journal of Research and Development
Completeness and incompleteness of trace-based network proof systems
POPL '87 Proceedings of the 14th ACM SIGACT-SIGPLAN symposium on Principles of programming languages
Hierarchical correctness proofs for distributed algorithms
PODC '87 Proceedings of the sixth annual ACM Symposium on Principles of distributed computing
Building a visual designer's environment
ACM '87 Proceedings of the 1987 Fall Joint Computer Conference on Exploring technology: today and tomorrow
An overview of the SR language and implementation
ACM Transactions on Programming Languages and Systems (TOPLAS)
Pascal-FC: a language for teaching concurrent programming
ACM SIGPLAN Notices
ACM Transactions on Programming Languages and Systems (TOPLAS)
OSI service specification with CCITT-SDL
ACM SIGCOMM Computer Communication Review
Distributed cooperation with action systems
ACM Transactions on Programming Languages and Systems (TOPLAS)
Design and test of distributed applications
ICSE '88 Proceedings of the 10th international conference on Software engineering
Asynchronous communication on Occam
ACM SIGPLAN Notices
Extending Ina Jo with Temporal Logic
IEEE Transactions on Software Engineering
An entity-life modeling approach to the design of concurrent software
Communications of the ACM
Reconfigurable transputer systems
C3P Proceedings of the third conference on Hypercube concurrent computers and applications: Architecture, software, computer systems, and general issues - Volume 1
Practical parallel processing with transputers
C3P Proceedings of the third conference on Hypercube concurrent computers and applications: Architecture, software, computer systems, and general issues - Volume 1
An OCCAM approach to transputer engineering
C3P Proceedings of the third conference on Hypercube concurrent computers and applications: Architecture, software, computer systems, and general issues - Volume 1
Process semantics: universal axioms compositional rules, and applications
PODC '88 Proceedings of the seventh annual ACM Symposium on Principles of distributed computing
A transformational approach to the derivation of hardware algorithms from recurrence equations
Proceedings of the 1988 ACM/IEEE conference on Supercomputing
Concurrent programming vs. concurrency control: shared events or shared data
OOPSLA/ECOOP '88 Proceedings of the 1988 ACM SIGPLAN workshop on Object-based concurrent programming
Partial orders for parallel debugging
PADD '88 Proceedings of the 1988 ACM SIGPLAN and SIGOPS workshop on Parallel and distributed debugging
On the productivity of recursive list definitions
ACM Transactions on Programming Languages and Systems (TOPLAS)
CC-modula: a modula-2 tool to teach concurrent programming
ACM SIGCSE Bulletin
A parallel processing course for undergraduates
SIGCSE '89 Proceedings of the twentieth SIGCSE technical symposium on Computer science education
Never mind the language, what about the paradigm?
SIGCSE '89 Proceedings of the twentieth SIGCSE technical symposium on Computer science education
Programming languages for distributed computing systems
ACM Computing Surveys (CSUR)
The family of concurrent logic programming languages
ACM Computing Surveys (CSUR)
POPL '88 Proceedings of the 15th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Tools to support formal methods
ICSE '89 Proceedings of the 11th international conference on Software engineering
Action system approach to the specification and design of distributed systems
IWSSD '89 Proceedings of the 5th international workshop on Software specification and design
Reasoning of real-time distributed programming languages
IWSSD '89 Proceedings of the 5th international workshop on Software specification and design
Polling in concurrent programming
CSC '89 Proceedings of the 17th conference on ACM Annual Computer Science Conference
Complete sequential specification allows for concurrent execution
CSC '89 Proceedings of the 17th conference on ACM Annual Computer Science Conference
Including Scalars in a Programming Language Based on the Relational Algebra
IEEE Transactions on Software Engineering
The composition of concurrent programs
Proceedings of the 1989 ACM/IEEE conference on Supercomputing
Journal of the ACM (JACM)
Cecil: A Sequencing Constraint Language for Automatic Static Analysis Generation
IEEE Transactions on Software Engineering
Self-assessment procedure XXI: a self-assessment procedure on concurrency
Communications of the ACM
Verifying General Safety Properties of Ada Tasking Programs
IEEE Transactions on Software Engineering
A Hookup Theorem for Multilevel Security
IEEE Transactions on Software Engineering
ACM SIGPLAN Notices
Specifying Ada Server Tasks with Executable Formal Grammars
IEEE Transactions on Software Engineering
A Relational Notation for State Transition Systems
IEEE Transactions on Software Engineering
Using symbolic execution for verification of Ada tasking programs
ACM Transactions on Programming Languages and Systems (TOPLAS)
Fairness and hyperfairness in multi-party interactions
POPL '90 Proceedings of the 17th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Viewing object as patterns of communicating agents
OOPSLA/ECOOP '90 Proceedings of the European conference on object-oriented programming on Object-oriented programming systems, languages, and applications
Update The Derivation of Conformance Tests From LOTOS Specifications
IEEE Transactions on Software Engineering
Communication with directed logic variables
POPL '91 Proceedings of the 18th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Making languages more powerful by removing limitations
Conference proceedings on Formal methods in software development
The algebraic specification language LOTOS: an industrial experience
Conference proceedings on Formal methods in software development
Structured analysis—formal design, using stream and object oriented formal specifications
Conference proceedings on Formal methods in software development
Application of formal methods to system and software specification
Conference proceedings on Formal methods in software development
ACM SIGCSE Bulletin
Implementing JSD designs in Ada: a tutorial
ACM SIGSOFT Software Engineering Notes
ACM SIGPLAN Notices
The Specification and Verified Decomposition of System Requirements Using CSP
IEEE Transactions on Software Engineering
Mechanizing CSP Trace Theory in Higher Order Logic
IEEE Transactions on Software Engineering
The concurrent language, Shared Prolog
ACM Transactions on Programming Languages and Systems (TOPLAS)
Separating concurrent languages with categories of language embeddings
STOC '91 Proceedings of the twenty-third annual ACM symposium on Theory of computing
Concurrency + modularity + programmability = MARS
Communications of the ACM - Special issue: Soviet computing
Mapping concurrent programs to VLIW processors
PPOPP '91 Proceedings of the third ACM SIGPLAN symposium on Principles and practice of parallel programming
Coarse-grain parallel programming in Jade
PPOPP '91 Proceedings of the third ACM SIGPLAN symposium on Principles and practice of parallel programming
Optimistic parallelization of communicating sequential processes
PPOPP '91 Proceedings of the third ACM SIGPLAN symposium on Principles and practice of parallel programming
Formalization of Protocol Engineering Concepts
IEEE Transactions on Computers - Special issue on protocol engineering
A fully abstract semantics for a first-order functional language with logic variables
ACM Transactions on Programming Languages and Systems (TOPLAS)
Dining philosophers revisited, again
ACM SIGCSE Bulletin
Understanding transactions in the operating system context
ACM SIGOPS Operating Systems Review
Rigorous development using RAISE
SIGSOFT '91 Proceedings of the conference on Software for citical systems
A real-time transition model for analyzing behavioral compatibility of telecommunications services
SIGSOFT '91 Proceedings of the conference on Software for citical systems
A Uniform Presentation of Confidentiality Properties
IEEE Transactions on Software Engineering
Towards a type theory for active objects
OOPSLA/ECOOP '90 Proceedings of the workshop on Object-based concurrent programming
Deriving protocol specifications from service specifications including parameters
ACM Transactions on Computer Systems (TOCS)
A stepwise refinement heuristic for protocol construction
ACM Transactions on Programming Languages and Systems (TOPLAS)
Trace-based network proof systems: expressiveness and completeness
ACM Transactions on Programming Languages and Systems (TOPLAS)
Introducing Objectcharts or How to Use Statecharts in Object-Oriented Design
IEEE Transactions on Software Engineering
SUVS: a distributed real-time system testbed for fault-tolerant computing
SAC '92 Proceedings of the 1992 ACM/SIGAPP symposium on Applied computing: technological challenges of the 1990's
Arrays and pointers considered harmful
ACM SIGPLAN Notices
A sequential abstract machine for a distributed logic language
CSC '92 Proceedings of the 1992 ACM annual conference on Communications
A common compiler for LOTOS and SDL specifications
IBM Systems Journal
The IBM Victor V256 partitionable multiprocessor
IBM Journal of Research and Development
DROL: an object-oriented programming language for distributed real-time systems
OOPSLA '92 conference proceedings on Object-oriented programming systems, languages, and applications
A formalism for real-time concurrent object-oriented computing
OOPSLA '92 conference proceedings on Object-oriented programming systems, languages, and applications
An overview of the ISO/VDM-SL standard
ACM SIGPLAN Notices
ACM SIGCHI Bulletin
On Ada packages, types and task types
ACM SIGAda Ada Letters
A toolbox for the verification of LOTOS programs
ICSE '92 Proceedings of the 14th international conference on Software engineering
POPL '92 Proceedings of the 19th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Compile-time analysis of communicating processes
ICS '92 Proceedings of the 6th international conference on Supercomputing
Termination, deadlock, and divergence
Journal of the ACM (JACM)
Guest A Layered Approach to Automating the Verification of Real-Time Systems
IEEE Transactions on Software Engineering - Special issue: specification and analysis of real-time systems
Communicating Real-Time State Machines
IEEE Transactions on Software Engineering - Special issue: specification and analysis of real-time systems
A General Framework for Concurrent Simulation on Neural Network Models
IEEE Transactions on Software Engineering
On the Delay-Sensitivity of Gate Networks
IEEE Transactions on Computers
Elements of interaction: Turing award lecture
Communications of the ACM
The concurrency workbench: a semantics-based tool for the verification of concurrent systems
ACM Transactions on Programming Languages and Systems (TOPLAS)
ACM Transactions on Programming Languages and Systems (TOPLAS)
A formal definition of priority in CSP
ACM Transactions on Programming Languages and Systems (TOPLAS)
Ease; the model and its implementation
ACM SIGPLAN Notices - Workshop on languages, compilers and run-time environments for distributed memory multiprocessors
Teaching object-oriented programming or using the object model to teach software engineering
OOPSLA '92 Addendum to the proceedings on Object-oriented programming systems, languages, and applications (Addendum)
Distributed real-time system specification and verification in APTL
ACM Transactions on Software Engineering and Methodology (TOSEM)
ACM Transactions on Software Engineering and Methodology (TOSEM)
An introduction to assertional reasoning for concurrent systems
ACM Computing Surveys (CSUR)
Communicating reactive processes
POPL '93 Proceedings of the 20th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
From CSP Models to Markov Models
IEEE Transactions on Software Engineering
A Rely and Guarantee Method for Timed CSP: A Specification and Design of a Telephone Exchange
IEEE Transactions on Software Engineering
A practical approach to software engineering using Z and the refinement calculus
SIGSOFT '93 Proceedings of the 1st ACM SIGSOFT symposium on Foundations of software engineering
Enhancing compositional reachability analysis with context constraints
SIGSOFT '93 Proceedings of the 1st ACM SIGSOFT symposium on Foundations of software engineering
An investigation of a standard simulation-knowledge interface
WSC '92 Proceedings of the 24th conference on Winter simulation
SIGCSE '93 Proceedings of the twenty-fourth SIGCSE technical symposium on Computer science education
A formalism for specifying communicating processes
CSC '93 Proceedings of the 1993 ACM conference on Computer science
A general abstract representation for the study of concurrent programs
CSC '93 Proceedings of the 1993 ACM conference on Computer science
Decidability of bisimulation equivalence for process generating context-free languages
Journal of the ACM (JACM)
A performance evaluation of several priority policies for parallel processing systems
Journal of the ACM (JACM)
A logic-based foundation of discrete event modeling and simulation
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Compositional specification and verification of distributed systems
ACM Transactions on Programming Languages and Systems (TOPLAS)
On randomization in sequential and distributed algorithms
ACM Computing Surveys (CSUR)
An operational framework for value-passing processes
POPL '94 Proceedings of the 21st ACM SIGPLAN-SIGACT symposium on Principles of programming languages
ACM SIGPLAN OOPS Messenger
A distributed system architecture for a distributed application environment
IBM Systems Journal
Beyond definition/use: architectural interconnection
IDL '94 Proceedings of the workshop on Interface definition languages
Decompilation: the enumeration of types and grammars
ACM Transactions on Programming Languages and Systems (TOPLAS)
Protocol testing: review of methods and relevance for software testing
ISSTA '94 Proceedings of the 1994 ACM SIGSOFT international symposium on Software testing and analysis
An empirical evaluation of three methods for deadlock analysis of Ada tasking programs
ISSTA '94 Proceedings of the 1994 ACM SIGSOFT international symposium on Software testing and analysis
A Framework for Expressing the Relationships Between Multiple Views in Requirements Specification
IEEE Transactions on Software Engineering
Design of heterogeneous ICs for mobile and personal communication systems
ICCAD '94 Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design
Towards usability guidelines for multimedia systems
MULTIMEDIA '94 Proceedings of the second ACM international conference on Multimedia
Strategies for incorporating formal specifications in software development
Communications of the ACM
Correctness and composition of software architectures
SIGSOFT '94 Proceedings of the 2nd ACM SIGSOFT symposium on Foundations of software engineering
Reliable communication over unreliable channels
Journal of the ACM (JACM)
Time-constrained buffer specifications in CSP + T and timed CSP
ACM Transactions on Programming Languages and Systems (TOPLAS)
Proving time bounds for randomized distributed algorithms
PODC '94 Proceedings of the thirteenth annual ACM symposium on Principles of distributed computing
100-hour design cycle: a test case
EURO-DAC '94 Proceedings of the conference on European design automation
Structured operational semantics as a specification language
POPL '95 Proceedings of the 22nd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Journal of the ACM (JACM)
A complete calculus for the multialgebraic and functional semantics of nondeterminism
ACM Transactions on Programming Languages and Systems (TOPLAS)
ACM Transactions on Programming Languages and Systems (TOPLAS)
An Automaton Model for Scheduling Constraints in Synchronous Machines
IEEE Transactions on Computers
IEEE Transactions on Computers
Model Checking in Practice: The T9000 Virtual Channel Processor
IEEE Transactions on Software Engineering
Correct Architecture Refinement
IEEE Transactions on Software Engineering - Special issue on software architecture
IEEE Transactions on Software Engineering - Special issue on software architecture
Graph models for reachability analysis of concurrent programs
ACM Transactions on Software Engineering and Methodology (TOSEM)
Liveness conditions in model-based service specifications: a case study
SIGSOFT '95 Proceedings of the 3rd ACM SIGSOFT symposium on Foundations of software engineering
SIGSOFT '95 Proceedings of the 3rd ACM SIGSOFT symposium on Foundations of software engineering
Pi-calculus, dialogue games and full abstraction PCF
FPCA '95 Proceedings of the seventh international conference on Functional programming languages and computer architecture
Semantics of barriers in a non-strict, implicitly-parallel language
FPCA '95 Proceedings of the seventh international conference on Functional programming languages and computer architecture
Design and use of a system-level specification and verification methodology
EURO-DAC '95/EURO-VHDL '95 Proceedings of the conference on European design automation
The Chinook hardware/software co-synthesis system
ISSS '95 Proceedings of the 8th international symposium on System synthesis
ISSS '95 Proceedings of the 8th international symposium on System synthesis
Iteration abstraction in Sather
ACM Transactions on Programming Languages and Systems (TOPLAS)
Formalizing style to understand descriptions of software architecture
ACM Transactions on Software Engineering and Methodology (TOSEM)
An incremental approach to structural testing of concurrent software
ISSTA '96 Proceedings of the 1996 ACM SIGSOFT international symposium on Software testing and analysis
ISSTA '96 Proceedings of the 1996 ACM SIGSOFT international symposium on Software testing and analysis
Including time in the notion of interactor
ACM SIGCHI Bulletin
A comprehensive study of the complexity of multiparty interaction
Journal of the ACM (JACM)
Checking subsystem safety properties in compositional reachability analysis
Proceedings of the 18th international conference on Software engineering
First-class synchronization barriers
Proceedings of the first ACM SIGPLAN international conference on Functional programming
A theory of weak bisimulation for core CML
Proceedings of the first ACM SIGPLAN international conference on Functional programming
Branching time and abstraction in bisimulation semantics
Journal of the ACM (JACM)
Context constraints for compositional reachability analysis
ACM Transactions on Software Engineering and Methodology (TOSEM)
Where Do Operations Come From? A Multiparadigm Specification Technique
IEEE Transactions on Software Engineering - Special issue: best papers of the 1996 international symposium on software testing and analysis ISSTA'96
Semantic-based visualization for parallel object-oriented programming
Proceedings of the 11th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
Four dark corners of requirements engineering
ACM Transactions on Software Engineering and Methodology (TOSEM)
Toward automatic generation of novice user test scripts
Proceedings of the SIGCHI Conference on Human Factors in Computing Systems
DAC '96 Proceedings of the 33rd annual Design Automation Conference
A strategy for real-time kernel support in application-specific HW/SW embedded architectures
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Strategic directions in concurrency research
ACM Computing Surveys (CSUR) - Special ACM 50th-anniversary issue: strategic directions in computing research
Formal methods: state of the art and future directions
ACM Computing Surveys (CSUR) - Special ACM 50th-anniversary issue: strategic directions in computing research
A discipline of multiprogramming
ACM Computing Surveys (CSUR) - Special issue: position statements on strategic directions in computing research
Style-based refinement for software architecture
ISAW '96 Joint proceedings of the second international software architecture workshop (ISAW-2) and international workshop on multiple perspectives in software development (Viewpoints '96) on SIGSOFT '96 workshops
Connecting viewpoints by shared phenomena
ISAW '96 Joint proceedings of the second international software architecture workshop (ISAW-2) and international workshop on multiple perspectives in software development (Viewpoints '96) on SIGSOFT '96 workshops
Automated logical verification based on trace abstractions
PODC '96 Proceedings of the fifteenth annual ACM symposium on Principles of distributed computing
Algebraic approaches to nondeterminism—an overview
ACM Computing Surveys (CSUR)
Using a Protean Language to Enhance Expressiveness in Specification
IEEE Transactions on Software Engineering
TRI-Ada '90 Proceedings of the conference on TRI-ADA '90
Lessons from using basic LOTOS
ICSE '94 Proceedings of the 16th international conference on Software engineering
Formalizing architectural connection
ICSE '94 Proceedings of the 16th international conference on Software engineering
Formal specification techniques (extended abstract)
ICSE '94 Proceedings of the 16th international conference on Software engineering
Distributed software engineering
ICSE '94 Proceedings of the 16th international conference on Software engineering
An integrated method for effective behaviour analysis of distributed systems
ICSE '94 Proceedings of the 16th international conference on Software engineering
A formal basis for architectural connection
ACM Transactions on Software Engineering and Methodology (TOSEM)
Partial-evaluation techniques for concurrent programs
PEPM '97 Proceedings of the 1997 ACM SIGPLAN symposium on Partial evaluation and semantics-based program manipulation
Derivation of formal representations from process-based specification and implementation models
ISSS '97 Proceedings of the 10th international symposium on System synthesis
A Systematic Approach to the Petri Net Based Specificationof Concurrent Systems
Real-Time Systems - Special issue on safety-critical systems
The ProCoS Approach to Correct Systems
Real-Time Systems - Special issue on safety-critical systems
Using Java in computer science education (panel)
The supplemental proceedings of the conference on Integrating technology into computer science education: working group reports and supplemental proceedings
A calculus for cryptographic protocols: the spi calculus
Proceedings of the 4th ACM conference on Computer and communications security
A framework for classifying and comparing architecture description languages
ESEC '97/FSE-5 Proceedings of the 6th European SOFTWARE ENGINEERING conference held jointly with the 5th ACM SIGSOFT international symposium on Foundations of software engineering
Applying static analysis to software architectures
ESEC '97/FSE-5 Proceedings of the 6th European SOFTWARE ENGINEERING conference held jointly with the 5th ACM SIGSOFT international symposium on Foundations of software engineering
Verification of liveness properties using compositional reachability analysis
ESEC '97/FSE-5 Proceedings of the 6th European SOFTWARE ENGINEERING conference held jointly with the 5th ACM SIGSOFT international symposium on Foundations of software engineering
Combinatory formulations of concurrent languages
ACM Transactions on Programming Languages and Systems (TOPLAS)
IEEE Transactions on Software Engineering
Using CSP to Detect Errors in the TMN Protocol
IEEE Transactions on Software Engineering
Using compliance notation to verify Ada tasking
IRTAW '97 Proceedings of the eighth international workshop on Real-Time Ada
DAC '98 Proceedings of the 35th annual Design Automation Conference
Software synthesis of process-based concurrent programs
DAC '98 Proceedings of the 35th annual Design Automation Conference
Automatically closing open reactive programs
PLDI '98 Proceedings of the ACM SIGPLAN 1998 conference on Programming language design and implementation
An analysis-based approach to composition of distributed embedded systems
Proceedings of the 6th international workshop on Hardware/software codesign
KLAIM: A Kernel Language for Agents Interaction and Mobility
IEEE Transactions on Software Engineering
IEEE Transactions on Software Engineering
Models and languages for parallel computation
ACM Computing Surveys (CSUR)
Parallel Algorithms for Relational Coarsest Partition Problems
IEEE Transactions on Parallel and Distributed Systems
Relative Liveness: From Intuition to Automated Verification
Formal Methods in System Design
Verifying Authentication Protocols in CSP
IEEE Transactions on Software Engineering
Formal modeling and analysis of the HLA component integration standard
SIGSOFT '98/FSE-6 Proceedings of the 6th ACM SIGSOFT international symposium on Foundations of software engineering
Reasoning about implicit invocation
SIGSOFT '98/FSE-6 Proceedings of the 6th ACM SIGSOFT international symposium on Foundations of software engineering
An approach to architectural analysis and testing
ISAW '98 Proceedings of the third international workshop on Software architecture
Interface synthesis: a vertical slice from digital logic to software components
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
A Formal Verification Environment for Railway Signaling System Design
Formal Methods in System Design - Special issue: industrial critical systems
A Consistent Causality-Based View on a Timed Process AlgebraIncluding Urgent Interactions
Formal Methods in System Design - Special issue: industrial critical systems
The design, implementation, and evaluation of Jade
ACM Transactions on Programming Languages and Systems (TOPLAS)
Compositional verification of concurrent systems using Petri-net-based condensation rules
ACM Transactions on Programming Languages and Systems (TOPLAS)
Checking safety properties using compositional reachability analysis
ACM Transactions on Software Engineering and Methodology (TOSEM)
Service specifications: to B, or not to B
FMSP '98 Proceedings of the second workshop on Formal methods in software practice
Verification of an audio control protocol within real time process algebra
FMSP '98 Proceedings of the second workshop on Formal methods in software practice
Using CSP to derive a sequentially consistent DSM system
Proceedings of the eighteenth annual ACM symposium on Principles of distributed computing
Blending Object-Z and Timed CSP: an introduction to TCOZ
Proceedings of the 20th international conference on Software engineering
Integrating architecture description languages with a standard design method
Proceedings of the 20th international conference on Software engineering
Using off-the-shelf middleware to implement connectors in distributed software architectures
Proceedings of the 21st international conference on Software engineering
Analysis of a scheduler for a CAD framework
Proceedings of the 21st international conference on Software engineering
Workflow, transactions and datalog
PODS '99 Proceedings of the eighteenth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Synthesis fo the hardware/software interface in microcontroller-based systems
ICCAD '92 Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design
Run-time security evaluation: can we afford it?
NSPW '96 Proceedings of the 1996 workshop on New security paradigms
Logical models of objects and of processes
ANSS '91 Proceedings of the 24th annual symposium on Simulation
Procedure-Level Verification of Real-time Concurrent Systems
Real-Time Systems
Hardware compilation for FPGA-based configurable computing machines
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Model-Based Verification of a Security Protocol for Conditional Access to Services
Formal Methods in System Design
Proceedings of the 1998 workshop on New security paradigms
Software engineering education: Rôles of formal specification and design calculi
Annals of Software Engineering - Special issue on software engineering education
Communications of the ACM
Communications of the ACM
Programming pearls: the Furbelow memorandum
Communications of the ACM
Communications of the ACM
Turning a functional data type into a concurrent programming language
SAC '95 Proceedings of the 1995 ACM symposium on Applied computing
An overview of the GCP programming language
Proceedings of the 1985 ACM SIGSMALL symposium on Small systems
Robots: a real-time systems architectural style
ESEC/FSE-7 Proceedings of the 7th European software engineering conference held jointly with the 7th ACM SIGSOFT international symposium on Foundations of software engineering
Checking progress with action priority: is it fair?
ESEC/FSE-7 Proceedings of the 7th European software engineering conference held jointly with the 7th ACM SIGSOFT international symposium on Foundations of software engineering
How to relieve a programmer from synchronization details
CSC '88 Proceedings of the 1988 ACM sixteenth annual conference on Computer science
Analyzing synchronization problems by using event histories as languages
CSC '86 Proceedings of the 1986 ACM fourteenth annual conference on Computer science
Statically Safe Speculative Execution for Real-Time Systems
IEEE Transactions on Software Engineering
Formal Methods in System Design - Special issue on The First Federated Logic Conference (FLOC'96), part II
Multitasking, scheduling: approaches for Ada
WADAS '90 Proceedings of the seventh Washington Ada symposium on Ada
CSC '91 Proceedings of the 19th annual conference on Computer Science
CSA: two paradigms of the language for adaptive expert systems
CSC '91 Proceedings of the 19th annual conference on Computer Science
A Classification and Comparison Framework for Software Architecture Description Languages
IEEE Transactions on Software Engineering
High-Level Embedded System Specifications Based on Process Activation Conditions
Journal of VLSI Signal Processing Systems - Special issue on system level design
“Alfonse, you have a message!”
Proceedings of the thirty-first SIGCSE technical symposium on Computer science education
Semantics study and reality of computing
ACM Computing Surveys (CSUR)
IEEE Transactions on Software Engineering
An extensible model of architecture description
SAC '00 Proceedings of the 2000 ACM symposium on Applied computing - Volume 1
Mechanisms for specifying communication behavior in object oriented database systems
SAC '00 Proceedings of the 2000 ACM symposium on Applied computing - Volume 1
Formal specification: a roadmap
Proceedings of the Conference on The Future of Software Engineering
An approach to architectural analysis of product lines
Proceedings of the 22nd international conference on Software engineering
Synthesis and optimization of coordination controllers for distributed embedded systems
Proceedings of the 37th Annual Design Automation Conference
IFIP/ACM International Conference on Distributed systems platforms
Eclectic CSP: a language of concurrent processes
SAC '00 Proceedings of the 2000 ACM symposium on Applied computing - Volume 2
Modelling Asynchrony with a Synchronous Model
Formal Methods in System Design
A development methodology for distributed Ada application
WADAS '88 Proceedings of the fifth Washington Ada symposium on Ada
Process algebras are getting mature for performance evaluation?!
ACM SIGMETRICS Performance Evaluation Review
Delay-insensitive interface specification and synthesis
DATE '00 Proceedings of the conference on Design, automation and test in Europe
Toward Constraint-Object-Oriented Development
IEEE Transactions on Software Engineering
Hardware/software synthesis of formal specifications in codesign of embedded systems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Proceedings of the 2000 ACM SIGSOFT international symposium on Software testing and analysis
Static checking of system behaviors using derived component assumptions
ACM Transactions on Software Engineering and Methodology (TOSEM)
Exclusion for composite objects
OOPSLA '00 Proceedings of the 15th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
Guava: a dialect of Java without data races
OOPSLA '00 Proceedings of the 15th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
The universe model: an approach for improving the modularity and reliability of concurrent programs
SIGSOFT '00/FSE-8 Proceedings of the 8th ACM SIGSOFT international symposium on Foundations of software engineering: twenty-first century applications
Automated systematic testing for constraint-based interactive services
SIGSOFT '00/FSE-8 Proceedings of the 8th ACM SIGSOFT international symposium on Foundations of software engineering: twenty-first century applications
On the formalization of architectural types with process algebras
SIGSOFT '00/FSE-8 Proceedings of the 8th ACM SIGSOFT international symposium on Foundations of software engineering: twenty-first century applications
An Implementation of Constructive Synchronous Programs in POLIS
Formal Methods in System Design
Verifying security protocols with Brutus
ACM Transactions on Software Engineering and Methodology (TOSEM)
A compiler for analyzing cryptographic protocols using noninterference
ACM Transactions on Software Engineering and Methodology (TOSEM)
Proceedings of the thirty-second SIGCSE technical symposium on Computer Science Education
The design of an asynchronous VHDL synthesizer
Proceedings of the conference on Design, automation and test in Europe
A model for system-level timed analysis and profiling
Proceedings of the conference on Design, automation and test in Europe
Efficient compilation of process-based concurrent programs without run-time scheduling
Proceedings of the conference on Design, automation and test in Europe
A flexible message passing mechanism for objective VHDL
Proceedings of the conference on Design, automation and test in Europe
Proceedings of the conference on Design, automation and test in Europe
PSCP: a scalable parallel ASIP architecture for reactive systems
Proceedings of the conference on Design, automation and test in Europe
Thread partitioning method for hardware compiler bach
ASP-DAC '00 Proceedings of the 2000 Asia and South Pacific Design Automation Conference
A C-based synthesis system, Bach, and its application (invited talk)
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
The tangram framework (embedded tutorial): asynchronous circuits for low power
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
High-level specification and efficient implementation of pipelined circuits
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
A practical tool box for system level communication synthesis
Proceedings of the ninth international symposium on Hardware/software codesign
Avoiding Packaging Mismatch with Flexible Packaging
IEEE Transactions on Software Engineering - Special issue on 1999 international conference on software engineering
Specification, Refinement and Verification of Concurrent Systems—An Integration of Object-Z and CSP
Formal Methods in System Design
Formal reasoning about actor programs using temporal logic
Concurrent object-oriented programming and petri nets
Addressing the system-on-a-chip interconnect woes through communication-based design
Proceedings of the 38th annual Design Automation Conference
Secure Internet programming
Parallel state space construction for model-checking
SPIN '01 Proceedings of the 8th international SPIN workshop on Model checking of software
Model checking distributed objects design
ICSE '01 Proceedings of the 23rd International Conference on Software Engineering
Enhanced operational semantics: a tool for describing and analyzing concurrent systems
ACM Computing Surveys (CSUR)
Localized algorithms in wireless ad-hoc networks: location discovery and sensor exposure
MobiHoc '01 Proceedings of the 2nd ACM international symposium on Mobile ad hoc networking & computing
Forced simulation: A technique for automating component reuse in embedded systems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Hardware compilation of sequential ada
CASES '01 Proceedings of the 2001 international conference on Compilers, architecture, and synthesis for embedded systems
Deadlock detection in distribution object systems
Proceedings of the 8th European software engineering conference held jointly with 9th ACM SIGSOFT international symposium on Foundations of software engineering
A methodology for specifying and analyzing consistency of object-oriented behavioral models
Proceedings of the 8th European software engineering conference held jointly with 9th ACM SIGSOFT international symposium on Foundations of software engineering
Modeling software architectures in the Unified Modeling Language
ACM Transactions on Software Engineering and Methodology (TOSEM)
An inheritance-based technique for building simulation proofs incrementally
ACM Transactions on Software Engineering and Methodology (TOSEM)
Understanding transactions in the operating in the operating system context
EW 4 Proceedings of the 4th workshop on ACM SIGOPS European workshop
Fault tolerance support in distributed systems: a position paper
EW 4 Proceedings of the 4th workshop on ACM SIGOPS European workshop
Refinement and state machine abstraction
Theoretical Computer Science
Divergence in testing and readiness semantics
Theoretical Computer Science
Distributed processes and location failures
Theoretical Computer Science
Performance Evaluation of Mobile Processes via Abstract Machines
IEEE Transactions on Software Engineering
Closed Partition Lattice and Machine Decomposition
IEEE Transactions on Computers - Special issue on fault-tolerant embedded systems
Efficient and User-Friendly Verification
IEEE Transactions on Computers
A calculus of superimpositions for distributed systems
AOSD '02 Proceedings of the 1st international conference on Aspect-oriented software development
Coordinating functional processes with Haskell#
Proceedings of the 2002 ACM symposium on Applied computing
XL: an XML programming language for web service specification and composition
Proceedings of the 11th international conference on World Wide Web
Towards consistency-preserving model evolution
Proceedings of the International Workshop on Principles of Software Evolution
Objects and classes in Algol-like languages
Information and Computation - FOOL V
Channels, visualization, and topology editor
Proceedings of the 7th annual conference on Innovation and technology in computer science education
ACSC '01 Proceedings of the 24th Australasian conference on Computer science
The computer science of everyday things
AUIC '01 Proceedings of the 2nd Australasian conference on User interface
Modelling erroneous operator behaviours for an air-traffic control task
AUIC '02 Proceedings of the Third Australasian conference on User interfaces - Volume 7
ACM Transactions on Computational Logic (TOCL)
Formal methods for distributed processing
Formal methods for distributed processing
Logical foundations of agent-based computing
Mutli-agents systems and applications
Formal methods for performance evaluation
Lectures on formal methods and performance analysis
Process algebra and Markov chains
Lectures on formal methods and performance analysis
Verification of randomized distributed algorithms
Lectures on formal methods and performance analysis
General distributions in process algebra
Lectures on formal methods and performance analysis
Finite-state analysis of two contract signing protocols
Theoretical Computer Science
Resource traces: a domain for processes sharing exclusive resources
Theoretical Computer Science
CSP, partial automata, and coalgebras
Theoretical Computer Science
The theory of interactive generalized semi-Markov processes
Theoretical Computer Science
A scalable approach to the design of SW architectures with dynamically created/destroyed components
SEKE '02 Proceedings of the 14th international conference on Software engineering and knowledge engineering
Incremental Integration Testing of Concurrent Programs
IEEE Transactions on Software Engineering
A causal semantics for CCS via rewriting logic
Theoretical Computer Science
Additive models of probabilistic processes
Theoretical Computer Science
Efficient simulation of synthesis-oriented system level designs
Proceedings of the 15th international symposium on System Synthesis
An infrastructure for the rapid development of XML-based architecture description languages
Proceedings of the 24th International Conference on Software Engineering
Hardware implementation of the Ravenscar Ada tasking profile
CASES '02 Proceedings of the 2002 international conference on Compilers, architecture, and synthesis for embedded systems
A Simple, Object-Based View of Multiprogramming
Formal Methods in System Design
Distributed simulation of asynchronous hardware: the program driven synchronization protocol
Journal of Parallel and Distributed Computing
Alternating-time temporal logic
Journal of the ACM (JACM)
Type-Safe linking with recursive DLLs and shared libraries
ACM Transactions on Programming Languages and Systems (TOPLAS)
Collaborative Group Membership
The Journal of Supercomputing - Special issue on computational issues in fluid dynamics optimization and simulation
Composable semantics for model-based notations
Proceedings of the 10th ACM SIGSOFT symposium on Foundations of software engineering
Temporal representation and reasoning in artificial intelligence: Issues and approaches
Annals of Mathematics and Artificial Intelligence
Formal methods for telecommunication system requirements: A survey of standardized languages
Annals of Software Engineering
A practical approach to implementing real-time semantics
Annals of Software Engineering
Specification and analysis of real-time systems with PARAGON
Annals of Software Engineering
An empirical evaluation of deadlock detection in software architecture specifications
Annals of Software Engineering
Annals of Software Engineering
Pinnacles of software engineering: 25 years of formal methods
Annals of Software Engineering
A comparative study of formal verification techniques for software architecture specifications
Annals of Software Engineering
The Real-Time Process Algebra (RTPA)
Annals of Software Engineering
Behaviour Analysis of Distributed Systems Using the Tracta Approach
Automated Software Engineering
Efficient Specification-Based Component Retrieval
Automated Software Engineering
Formal Specifications of User Requirements
Automated Software Engineering
The Model-Composition Problem in User-Interface Generation
Automated Software Engineering
Why Event Observation: Observability Revisited
Discrete Event Dynamic Systems
A Nondeterministic Extension over Finitely Recursive ProcessModel
Discrete Event Dynamic Systems
A Discrete Event Systems Approach for Protocol Conversion
Discrete Event Dynamic Systems
Efficient Computation and Representation of Large Reachability Sets for Composed Automata
Discrete Event Dynamic Systems
A Mechanization of Unity in PC-NQTHM-92
Journal of Automated Reasoning
A Theory of Object-Oriented Design
Information Systems Frontiers
A High-Level Approach in Network Management
Journal of Network and Systems Management
An Automated Fault Diagnosis System Using Hierarchical Reasoning and Alarm Correlation
Journal of Network and Systems Management
Programming and Computing Software
Compositional Petri Net Approach to the Development of Concurrent and Distributed Systems
Programming and Computing Software
Model-based analysis of configuration vulnerabilities
Journal of Computer Security
On Verifying Distributed Multithreaded Java Programs
Software Quality Control
Architectural differences of efficient sequential and parallel computers
Journal of Systems Architecture: the EUROMICRO Journal
The box algebra = Petri nets + process expressions
Information and Computation
Ordered SOS process languages for branching and Eager bisimulation
Information and Computation
The Journal of Supercomputing
Composable semantics for model-based notations
ACM SIGSOFT Software Engineering Notes
An algebraic hardware/software partitioning algorithm
Journal of Computer Science and Technology
A Formal Framework for Viewpoint Consistency
Formal Methods in System Design
Architecting families of software systems with process algebras
ACM Transactions on Software Engineering and Methodology (TOSEM)
Minimizing the number of successor states in the stubborn set method
Fundamenta Informaticae
A Glossary of Parallel Computing Terminology
IEEE Parallel & Distributed Technology: Systems & Technology
Automating the Development of Distributed Control Software
IEEE Parallel & Distributed Technology: Systems & Technology
Using VHDL for High-Level, Mixed-Mode System Simulation
IEEE Design & Test
A Model and Methodology for Hardware-Software Codesign
IEEE Design & Test
The Counterflow Pipeline Processor Architecture
IEEE Design & Test
A Compositional Approach to Multiparadigm Programming
IEEE Software
Architectural Styles, Design Patterns, and Objects
IEEE Software
Distortion Invariant Object Recognition in the Dynamic Link Architecture
IEEE Transactions on Computers
An Algorithm for Exact Bounds on the Time Separation of Events in Concurrent Systems
IEEE Transactions on Computers
Knowledge-Based Software Architectures: Acquisition, Specification, and Verification
IEEE Transactions on Knowledge and Data Engineering
Analysis of Fork-Join Program Response Times on Multiprocessors
IEEE Transactions on Parallel and Distributed Systems
Capsules: A Shared Memory Access Mechanism for Concurrent C/C++
IEEE Transactions on Parallel and Distributed Systems
Distributed Performance Monitoring: Methods, Tools, and Applications
IEEE Transactions on Parallel and Distributed Systems
A Systolic-Based Parallel Bin Packing Algorithm
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Computing Performance Bounds of Fork-Join Parallel Programs Under a Multiprocessing Environment
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Software Engineering
IEEE Transactions on Software Engineering
Integrated Environments for Formally Well-Founded Design and Simulation of Concurrent Systems
IEEE Transactions on Software Engineering
Specifying and Verifying Requirements of Real-Time Systems
IEEE Transactions on Software Engineering
A Theory of Interfaces and Modules - I: Composition Theorem
IEEE Transactions on Software Engineering
A New Structural Induction Theorem for Rings of Temporal Petri Nets
IEEE Transactions on Software Engineering
Hierarchical Reachability Graph of Bounded Petri Nets for Concurrent-Software Analysis
IEEE Transactions on Software Engineering
Specification and Validation of Control-Intensive IC's in hopCP
IEEE Transactions on Software Engineering
Making Changes to Formal Specifications: Requirements and an Example
IEEE Transactions on Software Engineering
Tractable Dataflow Analysis for Distributed Systems
IEEE Transactions on Software Engineering
CSP Methods for Identifying Atomic Actions in the Design of Fault Tolerant Concurrent Systems
IEEE Transactions on Software Engineering
A Three-View Model for Performance Engineering of Concurrent Software
IEEE Transactions on Software Engineering
Compositional Validation of Time-Critical Systems Using Communicating Time Petri Nets
IEEE Transactions on Software Engineering
Evaluating Deadlock Detection Methods for Concurrent Software
IEEE Transactions on Software Engineering
Normal processes for modeling the desired behavior of distributed autonomous discrete event systems
Journal of Automata, Languages and Combinatorics
Comparing logics for rewriting: rewriting logic, action calculi and tile logic
Theoretical Computer Science - Rewriting logic and its applications
Actor theories in rewriting logic
Theoretical Computer Science - Rewriting logic and its applications
Fundamenta Informaticae
Review of the π-calculus: a theory of mobile processes
ACM SIGACT News
Performance measure sensitive congruences for Markovian process algebras
Theoretical Computer Science
Discrete time generative-reactive probabilistic processes with different advancing speeds
Theoretical Computer Science
Theoretical Computer Science
Analysis of security protocols as open systems
Theoretical Computer Science
Unified specification and performance evaluation using stochastic process algebras
Performance Evaluation - Unified specification and performance evaluation using stochastic process algebras
Process algebras for systems diagnosis
Artificial Intelligence
Biocomputation: modeling and analyzing biomolecular networks
Computing in Science and Engineering
Comparing refinements for failure and bisimulation semantics
Fundamenta Informaticae
Specification Diagrams for Actor Systems
Higher-Order and Symbolic Computation
Multiprocessor architectures for concurrent programs
ACM SIGARCH Computer Architecture News
Static analysis of real-time component-based systems configurations
Science of Computer Programming - Special issue on coordination languages and architectures
Automatically verifying an object-oriented specification of the steam-boiler system
Science of Computer Programming - Special issure on formal methods for industrial critical systems (FMICS 2000)
A new logic for electronic commerce protocols
Theoretical Computer Science - Special issue: Algebraic methodology and software technology
Composition, decomposition and model checking of pushdown processes
Nordic Journal of Computing
Probabilistic simulations for probabilistic processes
Nordic Journal of Computing
Model and Algorithm for Efficient Verification of High-Assurance Properties of Real-Time Systems
IEEE Transactions on Knowledge and Data Engineering
True Concurrency in Models of Asynchronous Circuit Behavior
Formal Methods in System Design
Design and Verification of Distributed Recovery Blocks with CSP
Formal Methods in System Design
Analysis of an Industrial System
Formal Methods in System Design
Specification of an Automatic Manufacturing System: A Case Study in Using Integrated Formal Methods
FASE '00 Proceedings of the Third Internationsl Conference on Fundamental Approaches to Software Engineering: Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS 2000
A CSP View on UML-RT Structure Diagrams
FASE '01 Proceedings of the 4th International Conference on Fundamental Approaches to Software Engineering
Implementing Condition/Event Nets in the Circal Process Algebra
FASE '02 Proceedings of the 5th International Conference on Fundamental Approaches to Software Engineering
ESOP '00 Proceedings of the 9th European Symposium on Programming Languages and Systems
Aspect-Oriented Configuration and Adaptation of Component Communication
GCSE '01 Proceedings of the Third International Conference on Generative and Component-Based Software Engineering
Using the TrustME Tool Suite for Automatic Component Protocol Adaptation
ICCS '02 Proceedings of the International Conference on Computational Science-Part II
CSP Networking for Java (JCSP.net)
ICCS '02 Proceedings of the International Conference on Computational Science-Part II
From CSP-OZ to Java with Processes
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Composition and Refinement for Partial Object Specifications
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
A Broadcast-based Calculus for Communicating Systems
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Compiling Process Algebraic Descriptions into Reconfigurable Logic
IPDPS '00 Proceedings of the 15 IPDPS 2000 Workshops on Parallel and Distributed Processing
Porting Transputer Application to Multi-processors StrongARM System
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Assume-Guarantee Supervisor for Concurrent Systems
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
A distributed self-stabilizing algorithm for argumentation
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Parallel Programming with Interacting Processes
LCPC '99 Proceedings of the 12th International Workshop on Languages and Compilers for Parallel Computing
Proceedings of the ESPRIT Working Group 8533 on Prospects for Hardware Foundations: NADA - New Hardware Design Methods, Survey Chapters
Formal Verification of Coherence for a Shared Memory Multiprocessor Model
PaCT '01 Proceedings of the 6th International Conference on Parallel Computing Technologies
Design and Implementation of the High-Level Specification Language CSP(LP) in Prolog
PADL '01 Proceedings of the Third International Symposium on Practical Aspects of Declarative Languages
PADL '03 Proceedings of the 5th International Symposium on Practical Aspects of Declarative Languages
Quantifying the Dynamic Behavior of Process Algebras
PAPM-PROBMIV '01 Proceedings of the Joint International Workshop on Process Algebra and Probabilistic Methods, Performance Modeling and Verification
Expressing Processes with Different Action Durations through Probabilities
PAPM-PROBMIV '01 Proceedings of the Joint International Workshop on Process Algebra and Probabilistic Methods, Performance Modeling and Verification
MoDeST - A Modelling and Description Language for Stochastic Timed Systems
PAPM-PROBMIV '01 Proceedings of the Joint International Workshop on Process Algebra and Probabilistic Methods, Performance Modeling and Verification
Reachability Analysis of Probabilistic Systems by Successive Refinements
PAPM-PROBMIV '01 Proceedings of the Joint International Workshop on Process Algebra and Probabilistic Methods, Performance Modeling and Verification
Action Refinement for Probabilistic Processes with True Concurrency Models
PAPM-PROBMIV '02 Proceedings of the Second Joint International Workshop on Process Algebra and Probabilistic Methods, Performance Modeling and Verification
Possibilistic and Probabilistic Abstraction-Based Model Checking
PAPM-PROBMIV '02 Proceedings of the Second Joint International Workshop on Process Algebra and Probabilistic Methods, Performance Modeling and Verification
Weakest Congruence Results Concerning "Any-Lock"
TACS '01 Proceedings of the 4th International Symposium on Theoretical Aspects of Computer Software
TARK '98 Proceedings of the 7th conference on Theoretical aspects of rationality and knowledge
Modular Specification of Concurrent Systems with Observational Logic
WADT '98 Selected papers from the 13th International Workshop on Recent Trends in Algebraic Development Techniques
Formal Methods for the International Space Station ISS
Correct System Design, Recent Insight and Advances, (to Hans Langmaack on the occasion of his retirement from his professorship at the University of Kiel)
Formal Methods for the Re-Engineering of Computing Systems: A Comparison
COMPSAC '97 Proceedings of the 21st International Computer Software and Applications Conference
Revisiting the Categorical Approach to Systems
AMAST '02 Proceedings of the 9th International Conference on Algebraic Methodology and Software Technology
An Algebra of Non-safe Petri Boxes
AMAST '02 Proceedings of the 9th International Conference on Algebraic Methodology and Software Technology
Refusal Simulation and Interactive Games
AMAST '02 Proceedings of the 9th International Conference on Algebraic Methodology and Software Technology
A Framework for Formal Reasoning about Open Distributed Systems
ASIAN '99 Proceedings of the 5th Asian Computing Science Conference on Advances in Computing Science
Combining Methods for the Livelock Analysis of a Fault-Tolerant System
AMAST '98 Proceedings of the 7th International Conference on Algebraic Methodology and Software Technology
AMAST '98 Proceedings of the 7th International Conference on Algebraic Methodology and Software Technology
Consistency of Partial Process Specifications
AMAST '98 Proceedings of the 7th International Conference on Algebraic Methodology and Software Technology
Organizational Modeling for Efficient Specification of Information Security Requirements
ADBIS '99 Proceedings of the Third East European Conference on Advances in Databases and Information Systems
Behavioural Subtyping Relations for Object-Oriented Formalisms
AMAST '00 Proceedings of the 8th International Conference on Algebraic Methodology and Software Technology
Process Calculi for Coordination: From Linda to JavaSpaces
AMAST '00 Proceedings of the 8th International Conference on Algebraic Methodology and Software Technology
Process Algebra versus Axiomatic Specification of a Real-Time Protocol
AMAST '00 Proceedings of the 8th International Conference on Algebraic Methodology and Software Technology
A New Logic for Electronic Commerce Protocols
AMAST '00 Proceedings of the 8th International Conference on Algebraic Methodology and Software Technology
Concurrency Features for the Trellis/Owl Language
ECOOP '87 Proceedings of the European Conference on Object-Oriented Programming
Teaching Object-Oriented Programming Is More than Teaching Object-Oriented Programming Languages
ECOOP '88 Proceedings of the European Conference on Object-Oriented Programming
Process Algebraic Specification of the New Asynchronous CORBA Messaging Service
ECOOP '99 Proceedings of the 13th European Conference on Object-Oriented Programming
A Trace Model for Pointers and Objects
ECOOP '99 Proceedings of the 13th European Conference on Object-Oriented Programming
Specifying Processes with Dynamic Life Cycles
CAiSE '00 Proceedings of the 12th International Conference on Advanced Information Systems Engineering
Modern Concurrency Abstractions for C#
ECOOP '02 Proceedings of the 16th European Conference on Object-Oriented Programming
Reasoning about Interactive Systems with Stochastic Models
DSV-IS '01 Proceedings of the 8th International Workshop on Interactive Systems: Design, Specification, and Verification-Revised Papers
Logical Foundations of Agent-Based Computing
EASSS '01 Selected Tutorial Papers from the 9th ECCAI Advanced Course ACAI 2001 and Agent Link's 3rd European Agent Systems Summer School on Multi-Agent Systems and Applications
Using a Formal Framework for Agent System Design
FAABS '00 Proceedings of the First International Workshop on Formal Approaches to Agent-Based Systems-Revised Papers
Proof Techniques for Cryptographic Protocols
ICAL '99 Proceedings of the 26th International Colloquium on Automata, Languages and Programming
A Compositional Sweep-Line State Space Exploration Method
FORTE '02 Proceedings of the 22nd IFIP WG 6.1 International Conference Houston on Formal Techniques for Networked and Distributed Systems
Congruent Weak Conformance, a Partial Order among Processes
FORTE '02 Proceedings of the 22nd IFIP WG 6.1 International Conference Houston on Formal Techniques for Networked and Distributed Systems
Submodule Construction for Specifications with Input Assumptions and Output Guarantees
FORTE '02 Proceedings of the 22nd IFIP WG 6.1 International Conference Houston on Formal Techniques for Networked and Distributed Systems
Mathematical Models of Computer Security
FOSAD '00 Revised versions of lectures given during the IFIP WG 1.7 International School on Foundations of Security Analysis and Design on Foundations of Security Analysis and Design: Tutorial Lectures
Notes on Nominal Calculi for Security and Mobility
FOSAD '00 Revised versions of lectures given during the IFIP WG 1.7 International School on Foundations of Security Analysis and Design on Foundations of Security Analysis and Design: Tutorial Lectures
Classification of Security Properties (Part I: Information Flow)
FOSAD '00 Revised versions of lectures given during the IFIP WG 1.7 International School on Foundations of Security Analysis and Design on Foundations of Security Analysis and Design: Tutorial Lectures
Non Interference for the Analysis of Cryptographic Protocols
ICALP '00 Proceedings of the 27th International Colloquium on Automata, Languages and Programming
Bialgebraic Modelling of Timed Processes
ICALP '02 Proceedings of the 29th International Colloquium on Automata, Languages and Programming
Formal Modelling of Java GUI Event Handling
ICFEM '02 Proceedings of the 4th International Conference on Formal Engineering Methods: Formal Methods and Software Engineering
Abstract Specification in Object-Z and CSP
ICFEM '02 Proceedings of the 4th International Conference on Formal Engineering Methods: Formal Methods and Software Engineering
µ-Chart-Based Specification and Refinement
ICFEM '02 Proceedings of the 4th International Conference on Formal Engineering Methods: Formal Methods and Software Engineering
Graph Transformation as a Conceptual and Formal Framework for System Modeling and Model Evolution
ICALP '00 Proceedings of the 27th International Colloquium on Automata, Languages and Programming
Modular State Level Analysis of Distributed Systems Techniques and Tool Support
TACAS '99 Proceedings of the 5th International Conference on Tools and Algorithms for Construction and Analysis of Systems
TACAS '99 Proceedings of the 5th International Conference on Tools and Algorithms for Construction and Analysis of Systems
Checking for CFFD-Preorder with Tester Processes
TACAS '00 Proceedings of the 6th International Conference on Tools and Algorithms for Construction and Analysis of Systems: Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS 2000
A Formal Specification and Validation of a Critical System in Presence of Byzantine Errors
TACAS '00 Proceedings of the 6th International Conference on Tools and Algorithms for Construction and Analysis of Systems: Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS 2000
TACAS '00 Proceedings of the 6th International Conference on Tools and Algorithms for Construction and Analysis of Systems: Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS 2000
On the Construction of Live Timed Systems
TACAS '00 Proceedings of the 6th International Conference on Tools and Algorithms for Construction and Analysis of Systems: Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS 2000
MOVEP '00 Proceedings of the 4th Summer School on Modeling and Verification of Parallel Processes
Testing Transition Systems: An Annotated Bibliography
MOVEP '00 Proceedings of the 4th Summer School on Modeling and Verification of Parallel Processes
An Operational Semantics for Negotiating Agents
Proceedings of the 5th Pacific Rim International Workshop on Multi Agents: Intelligent Agents and Multi-Agent Systems
Coverage Metrics for Temporal Logic Model Checking
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Branching vs. Linear Time: Final Showdown
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Action Refinement from a Logical Point of View
VMCAI 2003 Proceedings of the 4th International Conference on Verification, Model Checking, and Abstract Interpretation
An Ada95 Implementation of a Network Coordination Language with Code Mobility
Ada-Europe '99 Proceedings of the 1999 Ada-Europe International Conference on Reliable Software Technologies
ESORICS '02 Proceedings of the 7th European Symposium on Research in Computer Security
Computational Probabilistic Non-interference
ESORICS '02 Proceedings of the 7th European Symposium on Research in Computer Security
Analysing a Stream Authentication Protocol Using Model Checking
ESORICS '02 Proceedings of the 7th European Symposium on Research in Computer Security
Towards Formally Refining BSP Barrier s into Explicit Two-Sided Communications
Euro-Par '01 Proceedings of the 7th International Euro-Par Conference Manchester on Parallel Processing
Revised Lectures from the International Summer School and Workshop on Algebraic and Coalgebraic Methods in the Mathematics of Program Construction
Euro-Par '02 Proceedings of the 8th International Euro-Par Conference on Parallel Processing
Orchestrating Computations on the World-Wide Web
Euro-Par '02 Proceedings of the 8th International Euro-Par Conference on Parallel Processing
Testing Concurrent Systems: A Formal Approach
CONCUR '99 Proceedings of the 10th International Conference on Concurrency Theory
Statecharts Via Process Algebra
CONCUR '99 Proceedings of the 10th International Conference on Concurrency Theory
CONCUR '99 Proceedings of the 10th International Conference on Concurrency Theory
Weakest-Congruence Results for Livelock-Preserving Equivalences
CONCUR '99 Proceedings of the 10th International Conference on Concurrency Theory
Deriving Bisimulation Congruences for Reactive Systems
CONCUR '00 Proceedings of the 11th International Conference on Concurrency Theory
CONCUR '00 Proceedings of the 11th International Conference on Concurrency Theory
CONCUR '00 Proceedings of the 11th International Conference on Concurrency Theory
CONCUR '01 Proceedings of the 12th International Conference on Concurrency Theory
CONCUR '01 Proceedings of the 12th International Conference on Concurrency Theory
A Testing Theory for Generally Distributed Stochastic Processes
CONCUR '01 Proceedings of the 12th International Conference on Concurrency Theory
Traces, Pomsets, Fairness and Full Abstraction for Communicating Processes
CONCUR '02 Proceedings of the 13th International Conference on Concurrency Theory
Alphabet-Based Synchronisation is Exponentially Cheaper
CONCUR '02 Proceedings of the 13th International Conference on Concurrency Theory
Lazy Compositional Verification
COMPOS'97 Revised Lectures from the International Symposium on Compositionality: The Significant Difference
Compositional Verification of Real-Time Applications
COMPOS'97 Revised Lectures from the International Symposium on Compositionality: The Significant Difference
Compositional Verification of Randomized Distributed Algorithms
COMPOS'97 Revised Lectures from the International Symposium on Compositionality: The Significant Difference
Decomposing Real-Time Specifications
COMPOS'97 Revised Lectures from the International Symposium on Compositionality: The Significant Difference
A Note on Modeling Agent Systems by Graph Transformation
AGTIVE '99 Proceedings of the International Workshop on Applications of Graph Transformations with Industrial Relevance
Alternating-Time Temporal Logic
COMPOS'97 Revised Lectures from the International Symposium on Compositionality: The Significant Difference
CHARME '01 Proceedings of the 11th IFIP WG 10.5 Advanced Research Working Conference on Correct Hardware Design and Verification Methods
Hierarchical Hybrid Modeling of Embedded Systems
EMSOFT '01 Proceedings of the First International Workshop on Embedded Software
Directions in Functional Programming for Real(-Time) Applications
EMSOFT '01 Proceedings of the First International Workshop on Embedded Software
Formal Modelling of Services for Getting a Better Understanding of the Feature Interaction Problem
PSI '99 Proceedings of the Third International Andrei Ershov Memorial Conference on Perspectives of System Informatics
Observational Semantics for Timed Event Structures
PSI '02 Revised Papers from the 4th International Andrei Ershov Memorial Conference on Perspectives of System Informatics: Akademgorodok, Novosibirsk, Russia
Modeling Real-Time Systems-Challenges and Work Directions
EMSOFT '01 Proceedings of the First International Workshop on Embedded Software
Component-Based Synthesis of Dependable Embedded Software
FTRTFT '02 Proceedings of the 7th International Symposium on Formal Techniques in Real-Time and Fault-Tolerant Systems: Co-sponsored by IFIP WG 2.2
Graded Modalities and Resource Bisimulation
Proceedings of the 19th Conference on Foundations of Software Technology and Theoretical Computer Science
Process-Algebraic Foundations of Aspect-Oriented Programming
REFLECTION '01 Proceedings of the Third International Conference on Metalevel Architectures and Separation of Crosscutting Concerns
Compositional Refinement for Hierarchical Hybrid Systems
HSCC '01 Proceedings of the 4th International Workshop on Hybrid Systems: Computation and Control
Hybrid Modeling and Simulation of Biomolecular Networks
HSCC '01 Proceedings of the 4th International Workshop on Hybrid Systems: Computation and Control
Software Architecture for Distributed Reactive Systems
SOFSEM '98 Proceedings of the 25th Conference on Current Trends in Theory and Practice of Informatics: Theory and Practice of Informatics
Weak Bisimilarity and Open Maps
SOFSEM '98 Proceedings of the 25th Conference on Current Trends in Theory and Practice of Informatics: Theory and Practice of Informatics
Static Analysis of Real-Time Component-Based Systems Configurations
COORDINATION '99 Proceedings of the Third International Conference on Coordination Languages and Models
A Principled Semantics for inp
COORDINATION '00 Proceedings of the 4th International Conference on Coordination Languages and Models
An Order-Based, Distributed Algorithm for Implementing Multiparty Interactions
COORDINATION '02 Proceedings of the 5th International Conference on Coordination Models and Languages
Securing Communication in a Concurrent Language
SAS '02 Proceedings of the 9th International Symposium on Static Analysis
Automatic Determination of Communication Topologies in Mobile Systems
SAS '98 Proceedings of the 5th International Symposium on Static Analysis
Communication and Parallelism Introduction and Elimination in Imperative Concurrent Programs
SAS '01 Proceedings of the 8th International Symposium on Static Analysis
Rule-Based Specification of Behavioral Consistency Based on the UML Meta-model
«UML» '01 Proceedings of the 4th International Conference on The Unified Modeling Language, Modeling Languages, Concepts, and Tools
Consistency-Preserving Model Evolution through Transformations
UML '02 Proceedings of the 5th International Conference on The Unified Modeling Language
External Consistency and the Verification of Security Protocols (Position Paper)
Proceedings of the 6th International Workshop on Security Protocols
DPS: An Architectural Style for Development of Secure Software
InfraSec '02 Proceedings of the International Conference on Infrastructure Security
Deriving Software Specifications from Event Based Models
ZB '00 Proceedings of the First International Conference of B and Z Users on Formal Specification and Development in Z and B
ZB '00 Proceedings of the First International Conference of B and Z Users on Formal Specification and Development in Z and B
ZB '02 Proceedings of the 2nd International Conference of B and Z Users on Formal Specification and Development in Z and B
Extraction of Abstraction Invariants for Data Refinement
ZB '02 Proceedings of the 2nd International Conference of B and Z Users on Formal Specification and Development in Z and B
ZB '02 Proceedings of the 2nd International Conference of B and Z Users on Formal Specification and Development in Z and B
A Single Complete Refinement Rule for Demonic Specifications
TCS '00 Proceedings of the International Conference IFIP on Theoretical Computer Science, Exploring New Frontiers of Theoretical Informatics
Thinking Tools for the Future of Computing Science
Informatics - 10 Years Back. 10 Years Ahead.
Safety Properties Ensured by the OASIS Model for Safety Critical Real-Time Systems
SAFECOMP '98 Proceedings of the 17th International Conference on Computer Safety, Reliability and Security
Three-Pass Hybrid Key Establishment Protocol Based on ESIGN Signature
SAFECOMP '99 Proceedings of the 18th International Conference on Computer Computer Safety, Reliability and Security
A Rigorous View of Mode Confusion
SAFECOMP '02 Proceedings of the 21st International Conference on Computer Safety, Reliability and Security
Stochastic Process Algebra: From an Algebraic Formalism to an Architectural Description Language
Performance Evaluation of Complex Systems: Techniques and Tools, Performance 2002, Tutorial Lectures
An Introduction to Functional Nets
Applied Semantics, International Summer School, APPSEM 2000, Caminha, Portugal, September 9-15, 2000, Advanced Lectures
Conceptual Design of Parallel Systems
Selected Papers from the Symposium on Conceptual Modeling, Current Issues and Future Directions
A Constraint-Based Framework for Prototyping Distributed Virtual Applications
CP '02 Proceedings of the 6th International Conference on Principles and Practice of Constraint Programming
A Programming Approach to the Design of Asynchronous Logic Blocks
Concurrency and Hardware Design, Advances in Petri Nets
Performance Analysis of Asynchronous Circuits Using Markov Chains
Concurrency and Hardware Design, Advances in Petri Nets
Architectures of Enterprise Systems: Modelling Transactional Contexts
CD '02 Proceedings of the IFIP/ACM Working Conference on Component Deployment
Specification of an Active Database System Application Using Dynamic Relation Nets
CL '00 Proceedings of the First International Conference on Computational Logic
Critical Systems Validation and Verification with CSP and FDR
FM-Trends 98 Proceedings of the International Workshop on Current Trends in Applied Formal Method: Applied Formal Methods
An Integrated Approach to Specification and Validation of Real-Time Systems
FME '01 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods for Increasing Software Productivity
How to Make FDR Spin LTL Model Checking of CSP by Refinement
FME '01 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods for Increasing Software Productivity
Verifying Implementation Relations
FME '01 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods for Increasing Software Productivity
From Complex Specifications to a Working Prototype. A Protocol Engineering Case Study
FME '01 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods for Increasing Software Productivity
Semantic Web for Extending and Linking Formalisms
FME '02 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods - Getting IT Right
FME '02 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods - Getting IT Right
Mechanical Abstraction of CSPZ Processes
FME '02 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods - Getting IT Right
FME '02 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods - Getting IT Right
A Uniform Approach for the Definition of Security Properties
FM '99 Proceedings of the Wold Congress on Formal Methods in the Development of Computing Systems-Volume I - Volume I
IF: An Intermediate Representation and Validation Environment for Timed Asynchronous Systems
FM '99 Proceedings of the Wold Congress on Formal Methods in the Development of Computing Systems-Volume I - Volume I
csp2B: A Practical Approach to Combining CSP and B
FM '99 Proceedings of the Wold Congress on Formal Methods in the Development of Computing Systems-Volume I - Volume I
FM '99 Proceedings of the Wold Congress on Formal Methods in the Development of Computing Systems-Volume I - Volume I
FM '99 Proceedings of the Wold Congress on Formal Methods in the Development of Computing Systems-Volume II
FM '99 Proceedings of the Wold Congress on Formal Methods in the Development of Computing Systems-Volume II
Formal Modeling of the Enterprise JavaBeansTM Component Integration Framework
FM '99 Proceedings of the Wold Congress on Formal Methods in the Development of Computing Systems-Volume II
FM '99 Proceedings of the Wold Congress on Formal Methods in the Development of Computing Systems-Volume II
Lessons from the Application of Formal Methods to the Design of a Storm Surge Barrier Control System
FM '99 Proceedings of the Wold Congress on Formal Methods in the Development of Computing Systems-Volume II
Confluence of Typed Attributed Graph Transformation Systems
ICGT '02 Proceedings of the First International Conference on Graph Transformation
Formal Specification and Verification of Mobile Agent Data Integrity Properties: A Case Study
MA '01 Proceedings of the 5th International Conference on Mobile Agents
An Axiomatisation of Duplication Equivalence in the Petri Box Calculus
ICATPN '98 Proceedings of the 19th International Conference on Application and Theory of Petri Nets
The Box Algebra - A Model of Nets and Process Expressions
Proceedings of the 20th International Conference on Application and Theory of Petri Nets
Quasi-Static Scheduling of Independent Tasksfor Reactive Systems
ICATPN '02 Proceedings of the 23rd International Conference on Applications and Theory of Petri Nets
Conformance Checking for Models of Asynchronous Message Passing Software
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
Synchronous and Bidirectional Component Interfaces
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
A Fixpoint Theory for Non-monotonic Parallelism
CSL '02 Proceedings of the 16th International Workshop and 11th Annual Conference of the EACSL on Computer Science Logic
Proofnets and Context Semantics for the Additives
CSL '02 Proceedings of the 16th International Workshop and 11th Annual Conference of the EACSL on Computer Science Logic
Compositional Performance Modelling with TIPPtool
TOOLS '98 Proceedings of the 10th International Conference on Computer Performance Evaluation: Modelling Techniques and Tools
Stream Computations Organized for Reconfigurable Execution (SCORE)
FPL '00 Proceedings of the The Roadmap to Reconfigurable Computing, 10th International Workshop on Field-Programmable Logic and Applications
Behavioural Language Compilation with Virtual Hardware Management
FPL '00 Proceedings of the The Roadmap to Reconfigurable Computing, 10th International Workshop on Field-Programmable Logic and Applications
StReAm: Object-Oriented Programming of Stream Architectures Using PAM-Blox
FPL '00 Proceedings of the The Roadmap to Reconfigurable Computing, 10th International Workshop on Field-Programmable Logic and Applications
Task-Parallel Programming of Reconfigurable Systems
FPL '01 Proceedings of the 11th International Conference on Field-Programmable Logic and Applications
Sea Cucumber: A Synthesizing Compiler for FPGAs
FPL '02 Proceedings of the Reconfigurable Computing Is Going Mainstream, 12th International Conference on Field-Programmable Logic and Applications
How to Write a Healthiness Condition
IFM '00 Proceedings of the Second International Conference on Integrated Formal Methods
Structural Refinement in Object-Z/CSP
IFM '00 Proceedings of the Second International Conference on Integrated Formal Methods
IFM '00 Proceedings of the Second International Conference on Integrated Formal Methods
An Approach to Symbolic Test Generation
IFM '00 Proceedings of the Second International Conference on Integrated Formal Methods
Formalizing Timing Diagrams as Causal Dependencies for Verification Purposes
IFM '00 Proceedings of the Second International Conference on Integrated Formal Methods
Specification and Analysis of Automata-Based Designs
IFM '00 Proceedings of the Second International Conference on Integrated Formal Methods
A Process Compensation Language
IFM '00 Proceedings of the Second International Conference on Integrated Formal Methods
Refinement in Object-Z and CSP
IFM '02 Proceedings of the Third International Conference on Integrated Formal Methods
Combining Graphical and Formal Development of Open Distributed Systems
IFM '02 Proceedings of the Third International Conference on Integrated Formal Methods
The Design of a Tool-Supported Graphical Notation for Timed CSP
IFM '02 Proceedings of the Third International Conference on Integrated Formal Methods
Combining Specification Techniques for Processes, Data and Time
IFM '02 Proceedings of the Third International Conference on Integrated Formal Methods
An Integration of Real-Time Object-Z and CSP for Specifying Concurrent Real-Time Systems
IFM '02 Proceedings of the Third International Conference on Integrated Formal Methods
Fully Abstract Characterization of Probabilistic May Testing
ARTS '99 Proceedings of the 5th International AMAST Workshop on Formal Methods for Real-Time and Probabilistic Systems
A Parallel Operator for Real-Time Processes with Predicate Transformer Semantics
ARTS '99 Proceedings of the 5th International AMAST Workshop on Formal Methods for Real-Time and Probabilistic Systems
The Agentis Agent Interaction Model
ATAL '98 Proceedings of the 5th International Workshop on Intelligent Agents V, Agent Theories, Architectures, and Languages
LICO: A Multi-platform Channel-Based Communication Library
Proceedings of the 9th European PVM/MPI Users' Group Meeting on Recent Advances in Parallel Virtual Machine and Message Passing Interface
A pi-calculus Model of a Spanish Fish Market - Preliminary Report
AMET '98 Selected Papers from the First International Workshop on Agent Mediated Electronic Trading on Agent Mediated Electronic Commerce
Enhanced Operational Semantics in Systems Biology
CMSB '03 Proceedings of the First International Workshop on Computational Methods in Systems Biology
Combining Independent Specifications
FASE '01 Proceedings of the 4th International Conference on Fundamental Approaches to Software Engineering
Towards a Foundation of Component-Oriented Software Reference Models
GCSE '00 Proceedings of the Second International Symposium on Generative and Component-Based Software Engineering-Revised Papers
Visual Programming for Modeling and Simulation of Biomolecular Regulatory Networks
HiPC '02 Proceedings of the 9th International Conference on High Performance Computing
Submodule Construction and Supervisory Control: A Generalization
CIAA '01 Revised Papers from the 6th International Conference on Implementation and Application of Automata
Segregation with Communication
ZB '00 Proceedings of the First International Conference of B and Z Users on Formal Specification and Development in Z and B
Using Model Checking to Detect Deadlocks in Distributed Object Systems
EDO '00 Revised Papers from the Second International Workshop on Engineering Distributed Objects
Expressiveness of Point-to-Point versus Broadcast Communications
FCT '99 Proceedings of the 12th International Symposium on Fundamentals of Computation Theory
Tutorial: Compiling concurrent languages for sequential processors
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Modeling Stream-Based Applications Using the SBF Model of Computation
Journal of VLSI Signal Processing Systems
The invention of concurrent programming
The origin of concurrent programming
Joyce: a programming language for distributed systems
The origin of concurrent programming
SuperPascal: a publication language for parallel scientific computing
The origin of concurrent programming
Reachability problems for sequential dynamical systems with threshold functions
Theoretical Computer Science - Mathematical foundations of computer science
Algebraic and coalgebraic methods in the mathematics of program construction
Evaluating real-time software specification languages
Computer Standards & Interfaces
Modeling and verification of parallel processes
Testing transition systems: an annotated bibliography
Modeling and verification of parallel processes
Information processing and technology
"What is a method?": an essay on some aspects of domain engineering
Programming methodology
A trace model for pointers and objects
Programming methodology
Automated verification using deduction, exploration, and abstraction
Programming methodology
Change impact analysis to support architectural evolution
Journal of Software Maintenance: Research and Practice - Special issue: Separation of concerns for software evolution
A compositional formalism for object viewpoints
FMOODS '02 Proceedings of the IFIP TC6/WG6.1 Fifth International Conference on Formal Methods for Open Object-Based Distributed Systems V
Checking behavioural subtypes via refinement
FMOODS '02 Proceedings of the IFIP TC6/WG6.1 Fifth International Conference on Formal Methods for Open Object-Based Distributed Systems V
Experience teaching hands-on parallel computing at a small college
Journal of Computing Sciences in Colleges
Extending CSP to investigate Linda ambiguities
Journal of Computing Sciences in Colleges
Distributed implementation of a process-algebra based programming language for embedded systems
Nordic Journal of Computing
A compositional formalization of connector wrappers
Proceedings of the 25th International Conference on Software Engineering
Bisimulation for labelled Markov processes
Information and Computation - Special issue: LICS'97
CSP-OZ-DC: a combination of specification techniques for processes, data and time
Nordic Journal of Computing
Journal of Systems and Software
Hybrid dynamic data race detection
Proceedings of the ninth ACM SIGPLAN symposium on Principles and practice of parallel programming
Phased development of critical real-time systems in timed CSP
CASCON '95 Proceedings of the 1995 conference of the Centre for Advanced Studies on Collaborative research
Behavioral patterns for software requirement engineering
CASCON '95 Proceedings of the 1995 conference of the Centre for Advanced Studies on Collaborative research
Acme: an architecture description interchange language
CASCON '97 Proceedings of the 1997 conference of the Centre for Advanced Studies on Collaborative research
Principles in formal specification of object oriented design and architecture
CASCON '01 Proceedings of the 2001 conference of the Centre for Advanced Studies on Collaborative research
Static analysis of multiway synchronization
CASCON '94 Proceedings of the 1994 conference of the Centre for Advanced Studies on Collaborative research
Relative liveness: from intuition to automated verification
ASYNC '95 Proceedings of the 2nd Working Conference on Asynchronous Design Methodologies
VLSI programming of a low-power asynchronous Reed-Solomon decoder for the DCC player
ASYNC '95 Proceedings of the 2nd Working Conference on Asynchronous Design Methodologies
High-level test evaluation of asynchronous circuits
ASYNC '95 Proceedings of the 2nd Working Conference on Asynchronous Design Methodologies
Hades-towards the design of an asynchronous superscalar processor
ASYNC '95 Proceedings of the 2nd Working Conference on Asynchronous Design Methodologies
On the Correctness of the Sproull Counterflow Pipeline Processor
ASYNC '96 Proceedings of the 2nd International Symposium on Advanced Research in Asynchronous Circuits and Systems
Some Limitations to Speed-Independence in Asynchronous Circuits
ASYNC '96 Proceedings of the 2nd International Symposium on Advanced Research in Asynchronous Circuits and Systems
ASYNC '96 Proceedings of the 2nd International Symposium on Advanced Research in Asynchronous Circuits and Systems
An Analysis of Determinacy Using a Trace-Theoretic Model of Asynchronous Circuits
ASYNC '03 Proceedings of the 9th International Symposium on Asynchronous Circuits and Systems
Proving testing preorders for process algebra descriptions
EDTC '95 Proceedings of the 1995 European conference on Design and Test
Multi-thread graph: a system model for real-time embedded software synthesis
EDTC '97 Proceedings of the 1997 European conference on Design and Test
An Algebraic Theory of Process Efficiency
LICS '96 Proceedings of the 11th Annual IEEE Symposium on Logic in Computer Science
LICS '96 Proceedings of the 11th Annual IEEE Symposium on Logic in Computer Science
Embedded Architecture Co-Synthesis and System Integration
CODES '96 Proceedings of the 4th International Workshop on Hardware/Software Co-Design
A Co-Design Methodology Based on Formal Specification and High-level Estimation
CODES '96 Proceedings of the 4th International Workshop on Hardware/Software Co-Design
A Flexible Model for Evaluating the Behavior of Hardware/Software Systems
CODES '97 Proceedings of the 5th International Workshop on Hardware/Software Co-Design
Comparing Two Information Flow Security Properties
CSFW '96 Proceedings of the 9th IEEE workshop on Computer Security Foundations
Action Systems for Security Specification
CSFW '96 Proceedings of the 9th IEEE workshop on Computer Security Foundations
CSFW '99 Proceedings of the 12th IEEE workshop on Computer Security Foundations
CSFW '99 Proceedings of the 12th IEEE workshop on Computer Security Foundations
CVS: A Compiler for the Analysis of Cryptographic Protocols
CSFW '99 Proceedings of the 12th IEEE workshop on Computer Security Foundations
Strand Spaces and Rank Functions: More than Distant Cousins
CSFW '02 Proceedings of the 15th IEEE workshop on Computer Security Foundations
Polynomial Fairness and Liveness
CSFW '02 Proceedings of the 15th IEEE workshop on Computer Security Foundations
A Logic for Reasoning about Digital Rights
CSFW '02 Proceedings of the 15th IEEE workshop on Computer Security Foundations
TTL: a modular language for hardware/software systems design
Journal of Computer and System Sciences
A framework for conformance testing of systems communicating through rendezvous
FTCS '96 Proceedings of the The Twenty-Sixth Annual International Symposium on Fault-Tolerant Computing (FTCS '96)
Hardware support for concurrent programming in loosely coupled multiprocessors
ISCA '78 Proceedings of the 5th annual symposium on Computer architecture
Stochastic Petri net semantics for stochastic process algebras
PNPM '95 Proceedings of the Sixth International Workshop on Petri Nets and Performance Models
Giving a net semantics to Markovian process algebra
PNPM '95 Proceedings of the Sixth International Workshop on Petri Nets and Performance Models
Throughput Approximation of Decision Free Processes Using Decomposition
PNPM '97 Proceedings of the 6th International Workshop on Petri Nets and Performance Models
An Algorithm for Direct Synthesis of Formal Specifications
RSP '97 Proceedings of the 8th International Workshop on Rapid System Prototyping (RSP '97) Shortening the Path from Specification to Prototype
Computing communication cost by Petri nets for hardware/software codesign
RSP '97 Proceedings of the 8th International Workshop on Rapid System Prototyping (RSP '97) Shortening the Path from Specification to Prototype
A formal requirements engineering method for specification, synthesis, and verification
SEE '97 Proceedings of the 8th International Conference on Software Engineering Environments (SEE '97)
Intransitive Non-Interference for Cryptographic Purposes
SP '03 Proceedings of the 2003 IEEE Symposium on Security and Privacy
Extending Object-Z for Specifying Real-Time Systems
TOOLS '97 Proceedings of the Tools-23: Technology of Object-Oriented Languages and Systems
Bounding Component Behavior via Protocols
TOOLS '99 Proceedings of the Technology of Object-Oriented Languages and Systems
Experiences with specification and verification in LOTOS: a report on two case studies
WIFT '95 Proceedings of the 1st Workshop on Industrial-Strength Formal Specification Techniques
Sequence Specification for Concurrent Object-Oriented Applications
WORDS '97 Proceedings of the 3rd Workshop on Object-Oriented Real-Time Dependable Systems - (WORDS '97)
Higher-order architectural connectors
ACM Transactions on Software Engineering and Methodology (TOSEM)
From process algebra to visual language
CRPIT '02 Proceedings of the conference on Application and theory of petri nets: formal methods in software engineering and defence systems - Volume 12
The state-based CCS semantics for concurrent Z specification
ICFEM '97 Proceedings of the 1st International Conference on Formal Engineering Methods
Refinement and Verification of Concurrent Systems Specified in Object-Z and CSP
ICFEM '97 Proceedings of the 1st International Conference on Formal Engineering Methods
Incremental Specification of Telecommunication Services
ICFEM '97 Proceedings of the 1st International Conference on Formal Engineering Methods
SAMON: Communication, Cooperation and Learning of Mobile Autonomous Robotic Agents
ICTAI '99 Proceedings of the 11th IEEE International Conference on Tools with Artificial Intelligence
A Case Study in Architectural Modelling: The AEGIS System
IWSSD '96 Proceedings of the 8th International Workshop on Software Specification and Design
Relating CHAM Descriptions of Software Architectures
IWSSD '96 Proceedings of the 8th International Workshop on Software Specification and Design
Putting non-functional requirements into software architecture
IWSSD '98 Proceedings of the 9th international workshop on Software specification and design
IWSSD '98 Proceedings of the 9th international workshop on Software specification and design
Formal Methods of Analysis of System Properties
Cybernetics and Systems Analysis
Behavioral Subtyping Relations for Active Objects
Formal Methods in System Design
Assertions: A Personal Perspective
IEEE Annals of the History of Computing
Analysis of a software product line architecture: an experience report
Journal of Systems and Software - Special issue on: Software architecture - Engineering quality attributes
Confidentiality-Preserving Refinement
CSFW '01 Proceedings of the 14th IEEE workshop on Computer Security Foundations
Noninterference Equations for Nondeterministic Systems
CSFW '01 Proceedings of the 14th IEEE workshop on Computer Security Foundations
Computing Symbolic Models for Verifying Cryptographic Protocols
CSFW '01 Proceedings of the 14th IEEE workshop on Computer Security Foundations
XL: an XML programming language for Web service specification and composition
Computer Networks: The International Journal of Computer and Telecommunications Networking - Special issue: The Semantic Web: an evolution for a revolution
Fundamenta Informaticae
Fundamenta Informaticae - Concurrency specification and programming
Dependability Modelling in a Prototype Development Framework
FTCS '95 Proceedings of the Twenty-Fifth International Symposium on Fault-Tolerant Computing
Protocols for Non-Deterministic Communication over Synchronous Channels
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
A Tool Box to Map System Level Communications on HW/SW Architectures
RSP '01 Proceedings of the 12th International Workshop on Rapid System Prototyping
Rapid Development of Reconfigurable Systems
RSP '01 Proceedings of the 12th International Workshop on Rapid System Prototyping
Outcomes-based assessment as an assurance education tool
Security education and critical infrastructures
Mapping concurrent applications onto architectural platforms
Networks on chip
Behaviour model elaboration using partial labelled transition systems
Proceedings of the 9th European software engineering conference held jointly with 11th ACM SIGSOFT international symposium on Foundations of software engineering
Fluent model checking for event-based systems
Proceedings of the 9th European software engineering conference held jointly with 11th ACM SIGSOFT international symposium on Foundations of software engineering
A formal approach for designing CORBA-based applications
ACM Transactions on Software Engineering and Methodology (TOSEM)
Information and Computation
Towards a declarative framework for hardware-software codesign
CODES '94 Proceedings of the 3rd international workshop on Hardware/software co-design
Software/hardware Co-Design in the MuSE environment
CODES '94 Proceedings of the 3rd international workshop on Hardware/software co-design
Towards provably correct hardware/software partitioning using occam
CODES '94 Proceedings of the 3rd international workshop on Hardware/software co-design
The VLSI-programming language tangram and its translation into handshake circuits
EURO-DAC '91 Proceedings of the conference on European design automation
Design and validation of a message-passing system
IWSSD '93 Proceedings of the 7th international workshop on Software specification and design
A pragmatic basis for the formal development of distributed systems
IWSSD '93 Proceedings of the 7th international workshop on Software specification and design
Real-time specification and modeling with joint actions
IWSSD '91 Proceedings of the 6th international workshop on Software specification and design
Equational reasoning about LOTOS specifications: a rewriting approach
IWSSD '91 Proceedings of the 6th international workshop on Software specification and design
On the specification of software components
IWSSD '91 Proceedings of the 6th international workshop on Software specification and design
SynchNet: a Petri net based coordination language for distributed objects
Proceedings of the 2nd international conference on Generative programming and component engineering
Temporal interaction diagrams for multi-process environments
Practicing software engineering in the 21st century
Information Sciences—Informatics and Computer Science: An International Journal
Toward program representation and program understanding using process algebras
CASCON '92 Proceedings of the 1992 conference of the Centre for Advanced Studies on Collaborative research - Volume 1
Program representation and behavioural matching for localizing similar code fragments
CASCON '93 Proceedings of the 1993 conference of the Centre for Advanced Studies on Collaborative research: software engineering - Volume 1
An automated reasoning for diagnostic knowledge in a distributed environment
ISICT '03 Proceedings of the 1st international symposium on Information and communication technologies
A fixpoint theory for non-monotonic parallelism
Theoretical Computer Science
Power simulation and its relation to traces and failures refinement
Theoretical Computer Science
Comparing the expressive power of the synchronous and asynchronous $pi$-calculi
Mathematical Structures in Computer Science
Sequentiality vs. concurrency in games and logic
Mathematical Structures in Computer Science
Embedding untimed into timed process algebra: the case for explicit termination
Mathematical Structures in Computer Science
Domain equations for probabilistic processes
Mathematical Structures in Computer Science
Elementary structures in process theory (1): Sets with renaming
Mathematical Structures in Computer Science
Observational trees as models for concurrency
Mathematical Structures in Computer Science
Mathematical Structures in Computer Science
Mathematical Structures in Computer Science
Parallel and Distributed Haskells
Journal of Functional Programming
System Design Using Kahn Process Networks: The Compaan/Laura Approach
Proceedings of the conference on Design, automation and test in Europe - Volume 1
A theory of weak bisimulation for Core CML
Journal of Functional Programming
Algorithm + strategy = parallelism
Journal of Functional Programming
A foundation for actor computation
Journal of Functional Programming
Guaranteed inconsistency avoidance during software evolution
Journal of Software Maintenance: Research and Practice
Journal of Computer Science and Technology
Automated Software Engineering
ACM Transactions on Programming Languages and Systems (TOPLAS)
An approach to the formal specification of lingware
Natural Language Engineering
Exploiting FPGA concurrency to enhance JVM performance
ACSC '04 Proceedings of the 27th Australasian conference on Computer science - Volume 26
A translation approach to component specification
OOPSLA '02 Companion of the 17th annual ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
A completed hierarchy of true concurrent equivalences
Information Processing Letters
Modelling and verification of delay-insensitive circuits using CCS and the concurrency workbench
Information Processing Letters
Formally analyzing software architectural specifications using SAM
Journal of Systems and Software
Model-Based Performance Prediction in Software Development: A Survey
IEEE Transactions on Software Engineering
Modelling SAMIPS: A Synthesisable Asynchronous MIPS Processor
ANSS '04 Proceedings of the 37th annual symposium on Simulation
A combined approach to checking web ontologies
Proceedings of the 13th international conference on World Wide Web
Implementing Coloured Petri Nets Using a Functional Programming Language
Higher-Order and Symbolic Computation
A conceptual model of service customization and its implementation
Journal of Computer Science and Technology
Automatic generation of equivalent architecture model from functional specification
Proceedings of the 41st annual Design Automation Conference
Proceedings of the 41st annual Design Automation Conference
Kill-safe synchronization abstractions
Proceedings of the ACM SIGPLAN 2004 conference on Programming language design and implementation
Using Event-Based Translation to Support Dynamic Protocol Evolution
Proceedings of the 26th International Conference on Software Engineering
Workflow View Driven Cross-Organizational Interoperability in a Web Service Environment
Information Technology and Management
Incremental elaboration of scenario-based specifications and behavior models using implied scenarios
ACM Transactions on Software Engineering and Methodology (TOSEM)
Safety of abstract interpretations for free, via logical relations and Galois connections
Science of Computer Programming - Special issue on mathematics of program construction (MPC 2002)
Static Analysis for State-Space Reductions Preserving Temporal Logics
Formal Methods in System Design
Semantic models for information flow
Theoretical Computer Science - Mathematical foundations of programming semantics
Relating communicating processes with different interfaces
Fundamenta Informaticae
A set-theoretic framework for component composition
Fundamenta Informaticae
A domain equation for refinement of partial systems
Mathematical Structures in Computer Science
On deriving equivalent architecture model from system specification
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Using C based logic synthesis to bridge the productivity gap
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
A new time extension to φ-calculus based on time consuming transition semantics
Languages for system specification
From process logic to program logic
Proceedings of the ninth ACM SIGPLAN international conference on Functional programming
Efficient Verification of Sequential and Concurrent C Programs
Formal Methods in System Design
A process-algebraic approach for the analysis of probabilistic noninterference
Journal of Computer Security
Modern concurrency abstractions for C#
ACM Transactions on Programming Languages and Systems (TOPLAS)
Bisimulation on speed: worst-case efficiency
Information and Computation
Nested semantics over finite trees are equationally hard
Information and Computation
A framed temporal logic programming language
Journal of Computer Science and Technology
Mapping Template Semantics to SMV
Proceedings of the 19th IEEE international conference on Automated software engineering
Combining the Box Structure Development Method and CSP
Proceedings of the 19th IEEE international conference on Automated software engineering
Implementing Hierarchical Features in a Graphically Based Formal Modelling Language
COMPSAC '04 Proceedings of the 28th Annual International Computer Software and Applications Conference - Volume 01
System architecture: the context for scenario-based model synthesis
Proceedings of the 12th ACM SIGSOFT twelfth international symposium on Foundations of software engineering
Merging partial behavioural models
Proceedings of the 12th ACM SIGSOFT twelfth international symposium on Foundations of software engineering
Using LOTOS in the specification of industrial bus communication protocols
Computer Networks: The International Journal of Computer and Telecommunications Networking
Events as atomic contracts for component integration
Data & Knowledge Engineering - Special issue: Contract-driven coordination and collaboration in the internet context
Web services: a process algebra approach
Proceedings of the 2nd international conference on Service oriented computing
Building functional patterns for implementing distributed applications
LANC '03 Proceedings of the 2003 IFIP/ACM Latin America conference on Towards a Latin American agenda for network research
Keeping track of the latest gossip in a distributed system
Distributed Computing
A data-centric approach to composing embedded, real-time software components
Journal of Systems and Software - Special issue: Automated component-based software engineering
Formal prototyping in early stages of protocol design
WITS '05 Proceedings of the 2005 workshop on Issues in the theory of security
P#: a concurrent prolog for the .NET framework
Software—Practice & Experience
Towards concrete concurrency: occam-pi on the LEGO mindstorms
Proceedings of the 36th SIGCSE technical symposium on Computer science education
System level modeling of component based software systems
CompSysTech '04 Proceedings of the 5th international conference on Computer systems and technologies
Specification and formal verification of security requirements
CompSysTech '04 Proceedings of the 5th international conference on Computer systems and technologies
A semantic and methodological essence of message sequence charts
Science of Computer Programming
ACM SIGACT News
Verifying mutual exclusion and liveness properties with split preconditions
Journal of Computer Science and Technology
Checking of models built using a graphically based formal modelling language
Journal of Systems and Software - Special issue: Computer software & applications
Design and analysis of dynamic leader election protocols in broadcast networks
Distributed Computing
Rank order filters and priority queues
Distributed Computing
Algebraic and functional specification of an interactive serializable database interface
Distributed Computing - Special issue: Specification of concurrent systems
Operational specification with joint actions: serializable databases
Distributed Computing - Special issue: Specification of concurrent systems
Specifying modules to satisfy interfaces: a state transition system approach
Distributed Computing - Special issue: Specification of concurrent systems
Critique of the lake arrowhead three
Distributed Computing - Special issue: Specification of concurrent systems
A formal approach to designing delay-insensitive circuits
Distributed Computing
A complete axiomatic semantics of spawning
Distributed Computing
An incremental specification of the sliding-window protocol
Distributed Computing
Design and verification of fault tolerant systems with CSP
Distributed Computing
Towards systolizing compilation
Distributed Computing
P-A logic: a compositional proof system for distributed programs
Distributed Computing
Distributed Computing - Special issue: Verification of lazy caching
Using CSP to verify sequential consistency
Distributed Computing - Special issue: Verification of lazy caching
The compositional approach to sequential consistency and lazy caching
Distributed Computing - Special issue: Verification of lazy caching
Automatically partitioning packet processing applications for pipelined architectures
Proceedings of the 2005 ACM SIGPLAN conference on Programming language design and implementation
CCS with Hennessy's merge has no finite-equational axiomatization
Theoretical Computer Science - Expressiveness in concurrency
A hierarchy of failures-based models: theory and application
Theoretical Computer Science - Expressiveness in concurrency
Composition for component-based modeling
Science of Computer Programming - Formal methods for components and objects pragmatic aspects and applications
Specification and (property) inheritance in CSP-OZ
Science of Computer Programming - Formal methods for components and objects pragmatic aspects and applications
Science of Computer Programming - Formal methods for components and objects pragmatic aspects and applications
Automatic multithreading and multiprocessing of C programs for IXP
Proceedings of the tenth ACM SIGPLAN symposium on Principles and practice of parallel programming
MoCha-pi, an exogenous coordination calculus based on mobile channels
Proceedings of the 2005 ACM symposium on Applied computing
Proceedings of the 2005 ACM symposium on Applied computing
Logical verification and equational verification
ACM SIGACT News
VoDKA: Developing a Video-on-Demand Server using Distributed Functional Programming
Journal of Functional Programming
Converging CSP specifications and C++ programming via selective formalism
ACM Transactions on Embedded Computing Systems (TECS)
From StoCharts to MoDeST: a comparative reliability analysis of train radio communications
Proceedings of the 5th international workshop on Software and performance
A form-based meta-model for software composition
Science of Computer Programming - Special issue on new software composition concepts
Encyclopedia of Computer Science
A decision procedure for the existence of a rank function
Journal of Computer Security
Fairness and hyperfairness in multi-party interactions
Distributed Computing
Developing critical systems with PLD components
Proceedings of the 10th international workshop on Formal methods for industrial critical systems
Requirements of an integrated formal method for intelligent swarms
Proceedings of the 10th international workshop on Formal methods for industrial critical systems
Convergence of iteration systems
Distributed Computing - Special issue: Self-stabilization
Generating diagnostic information for behavioral preorders
Distributed Computing
A fully abstract trace model for dataflow and asynchronous networks
Distributed Computing
Fluent temporal logic for discrete-time event-based models
Proceedings of the 10th European software engineering conference held jointly with 13th ACM SIGSOFT international symposium on Foundations of software engineering
Towards a unified formal model for supporting mechanisms of dynamic component update
Proceedings of the 10th European software engineering conference held jointly with 13th ACM SIGSOFT international symposium on Foundations of software engineering
Failure modelling in software architecture design for safety
WADS '05 Proceedings of the 2005 workshop on Architecting dependable systems
Communication Benchmarking and Performance Modelling of MPI Programs on Cluster Computers
The Journal of Supercomputing
A principled exploration of coordination models
Theoretical Computer Science - Abstract state machines and high-level system design and analysis
A brief history of process algebra
Theoretical Computer Science - Process algebra
On the usability of process algebra: an architectural view
Theoretical Computer Science - Process algebra
A structural approach to quasi-static schedulability analysis of communicating concurrent programs
Proceedings of the 5th ACM international conference on Embedded software
SHIM: a deterministic model for heterogeneous embedded systems
Proceedings of the 5th ACM international conference on Embedded software
Distributing synchronous programs using bounded queues
Proceedings of the 5th ACM international conference on Embedded software
Resolving Race Conditions in Asynchronous Partial Order Scenarios
IEEE Transactions on Software Engineering
A Critique of Java for Concurrent Programming
IEEE Distributed Systems Online
A ground-complete axiomatization of finite state processes in process algebra
CONCUR 2005 - Concurrency Theory
Reasoning about XACML policies using CSP
Proceedings of the 2005 workshop on Secure web services
Formal specification of multi-agent e-barter systems
Science of Computer Programming
Systems Specification by Basic Protocols
Cybernetics and Systems Analysis
Interaction Protocols as Design Abstractions for Business Processes
IEEE Transactions on Software Engineering
A Cloverleaf of Software Engineering
SEFM '05 Proceedings of the Third IEEE International Conference on Software Engineering and Formal Methods
Generating Relational Database Transactions From Recursive Functions Defined on EB^3 Traces
SEFM '05 Proceedings of the Third IEEE International Conference on Software Engineering and Formal Methods
Formalising Control in Robust Spoken Dialogue Systems
SEFM '05 Proceedings of the Third IEEE International Conference on Software Engineering and Formal Methods
A unified description formalism for complex HCI-systems
SEFM '05 Proceedings of the Third IEEE International Conference on Software Engineering and Formal Methods
Formal Analysis of Human-computer Interaction using Model-checking
SEFM '05 Proceedings of the Third IEEE International Conference on Software Engineering and Formal Methods
A Strategy for the Formal Composition of Frameworks
SEFM '05 Proceedings of the Third IEEE International Conference on Software Engineering and Formal Methods
An approach to evaluation of Software Visualization
CHI EA '97 CHI '97 Extended Abstracts on Human Factors in Computing Systems
A theory of stochastic systems: part II: process algebra
Information and Computation
A formalism for functionality preserving system level transformations
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Basic protocols, message sequence charts, and the verification of requirements specifications
Computer Networks: The International Journal of Computer and Telecommunications Networking - Telecommunications and UML languages
A formal approach to specifying and testing the interoperation between components
ACM-SE 38 Proceedings of the 38th annual on Southeast regional conference
Information flow property preserving transformation of UML interaction diagrams
Proceedings of the eleventh ACM symposium on Access control models and technologies
An algebraic theory for behavioral modeling and protocol synthesis in system design
Formal Methods in System Design
Model-based development of dynamically adaptive software
Proceedings of the 28th international conference on Software engineering
From semantic web to expressive software specifications: a modeling languages spectrum
Proceedings of the 28th international conference on Software engineering
ASDL: a wide spectrum language for designing web services
Proceedings of the 15th international conference on World Wide Web
Modular hierarchies of models for embedded systems
Formal methods and models for system design
A verification methodology for concurrent software with synchronous communication
Formal methods and models for system design
Behavioral type inference: part I - algebraic theory for bahavioral type inference
Formal methods and models for system design
Optimizing system models for simulation efficiency
Formal methods and models for system design
From requirements to specifications: a formal approach
Proceedings of the 2006 international workshop on Advances and applications of problem frames
CSP-CASL: a new integration of process algebra and algebraic specification
Theoretical Computer Science - Algebraic methods in language processing
Slicing techniques for verification re-use
Theoretical Computer Science - Formal methods for components and objects
Pure bigraphs: structure and dynamics
Information and Computation
Extending noninterference properties to the timed world
Proceedings of the 2006 ACM symposium on Applied computing
Analysis of the SET e-commerce protocol using a true concurrency process algebra
Proceedings of the 2006 ACM symposium on Applied computing
Science of Computer Programming
Anonymity and information hiding in multiagent systems
Journal of Computer Security
Dynamic and formal verification of embedded systems: a comparative survey
International Journal of Parallel Programming
Visual security protocol modeling
NSPW '05 Proceedings of the 2005 workshop on New security paradigms
Modeling instruction placement on a spatial architecture
Proceedings of the eighteenth annual ACM symposium on Parallelism in algorithms and architectures
Fairness of components in system computations
Theoretical Computer Science - Expressiveness in concurrency
Branching bisimulation for probabilistic systems: characteristics and decidability
Theoretical Computer Science - Expressiveness in concurrency
Leader election in rings of ambient processes
Theoretical Computer Science - Expressiveness in concurrency
On the expressiveness of timed coordination models
Science of Computer Programming - Special issue on second international workshop on foundations of coordination languages and software architectures (FOCLASA'03)
Verification and implementation of delay-insensitive processes in restrictive environments
Fundamenta Informaticae - Special issue on application of concurrency to system design (ACSD'04)
Simulating a computational grid with networked animat agents
ACSW Frontiers '06 Proceedings of the 2006 Australasian workshops on Grid computing and e-research - Volume 54
Formal analysis of card-based payment systems in mobile devices
ACSW Frontiers '06 Proceedings of the 2006 Australasian workshops on Grid computing and e-research - Volume 54
Optimizing slicing of formal specifications by deductive verification
Nordic Journal of Computing - Selected papers of the 17th nordic workshop on programming theory (NWPT'05), October 19-21, 2005
Languages and tools for hybrid systems design
Foundations and Trends in Electronic Design Automation
Object-oriented behavioral testing through trace generation
Proceedings of the 43rd annual Southeast regional conference - Volume 2
Parallel algorithms development for programmable logic devices
Advances in Engineering Software
Coverage metrics for temporal logic model checking
Formal Methods in System Design
Processes as formal power series: a coinductive approach to denotational semantics
Theoretical Computer Science
Developing a functional Tcp/Ip stack oriented towards Tcp connection replication
LANC '05 Proceedings of the 3rd international IFIP/ACM Latin American conference on Networking
Scheduling-independent threads and exceptions in SHIM
EMSOFT '06 Proceedings of the 6th ACM & IEEE International conference on Embedded software
Question-guided stubborn set methods for state properties
Formal Methods in System Design
Verification of system level model transformations
International Journal of Parallel Programming
Two case studies of semantics execution in Maude: CCS and LOTOS
Formal Methods in System Design
DEGAS: discrete event Gnu advanced scheduler
Proceedings of the 2006 annual ACM SIGAda international conference on Ada
Blue matter: approaching the limits of concurrency for classical molecular dynamics
Proceedings of the 2006 ACM/IEEE conference on Supercomputing
Transition systems, link graphs and Petri nets
Mathematical Structures in Computer Science
ACM SIGACT news distributed computing column 24
ACM SIGACT News
The formal and systematic specification of market structures and trading services
Journal of Management Information Systems
ACM Transactions on Software Engineering and Methodology (TOSEM)
Using data-independence in the analysis of intrusion detection systems
Theoretical Computer Science - Theoretical foundations of security analysis and design II
Locating reaction with 2-categories
Theoretical Computer Science - Foundations of software science and computation structures
A characterization of regular expressions under bisimulation
Journal of the ACM (JACM)
Complexity of reachability problems for finite discrete dynamical systems
Journal of Computer and System Sciences
Process Algebras for Network Communication
Fundamenta Informaticae
On Different Models for Packet Flow in Multistage Interconnection Networks
Fundamenta Informaticae
Behaviour Abstraction for Communicating Sequential Processes
Fundamenta Informaticae
Compiling concurrent programs for embedded sequential execution
Integration, the VLSI Journal
Information and Computation
An Approach for the Specification, Verification and Synthesis of Secure Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
Modelling and model checking suspendible business processes via statechart diagrams and CSP
Science of Computer Programming
Creol: a type-safe object-oriented model for distributed concurrent systems
Theoretical Computer Science - Components and objects
A basic algebra of stateless connectors
Theoretical Computer Science - Algebra and coalgebra in computer science
Concrete and Abstract Semantics to Check Secure Information Flow in Concurrent Programs
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P 2003)
Some Remarks on Sets of Communicating Sequential Processes in Topological Rough Set Framework
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P 2003)
Open Maps and Observational Equivalences for Timed Partial Order Models
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P 2003)
Quasi-static Scheduling for Concurrent Architectures
Fundamenta Informaticae - Application of Concurrency to System Design (ACSD'03)
Formal Refinement Checking in a System-level Design Methodology
Fundamenta Informaticae - Application of Concurrency to System Design (ACSD'03)
Modeling and Verification of Reactive Systems using Rebeca
Fundamenta Informaticae
Impossibility Results in the Equational Logic of Processes
Electronic Notes in Theoretical Computer Science (ENTCS)
Specifying and analyzing security automata using CSP-OZ
ASIACCS '07 Proceedings of the 2nd ACM symposium on Information, computer and communications security
Remarks on Testing Probabilistic Processes
Electronic Notes in Theoretical Computer Science (ENTCS)
Systems Modelling via Resources and Processes: Philosophy, Calculus, Semantics, and Logic
Electronic Notes in Theoretical Computer Science (ENTCS)
Observational Equivalences for Timed Stable Event Structures
Fundamenta Informaticae - SPECIAL ISSUE ON CONCURRENCY SPECIFICATION AND PROGRAMMING (CS&P 2005) Ruciane-Nide, Poland, 28-30 September 2005
A Component Coordination Model Based on Mobile Channels
Fundamenta Informaticae
SOS formats and meta-theory: 20 years after
Theoretical Computer Science
Journal of Embedded Computing - Real-Time and Embedded Computing Systems
Applying domain engineering using RAISE into a particular banking domain
ACM SIGSOFT Software Engineering Notes
Resources, concurrency, and local reasoning
Theoretical Computer Science
A regular viewpoint on processes and algebra
Acta Cybernetica
Generating the syntactic and semantics graphs for a Markovian process algebra
Journal of Computational and Applied Mathematics
Journal of Integrated Design & Process Science
A Component-Based Systems Development Approach
Journal of Integrated Design & Process Science
Consistent Interaction Of Software Components
Journal of Integrated Design & Process Science - Component-Based System Development
Towards the theoretical foundation of choreography
Proceedings of the 16th international conference on World Wide Web
Analysis and verification of an automatic document feeder
Proceedings of the 2007 ACM symposium on Applied computing
DisCComp -- A Formal Model for Distributed C oncurrent Components
Electronic Notes in Theoretical Computer Science (ENTCS)
Extending a Component Specification Language with Time
Electronic Notes in Theoretical Computer Science (ENTCS)
Saturation for a General Class of Models
IEEE Transactions on Software Engineering
Triggered Message Sequence Charts
IEEE Transactions on Software Engineering
Optimizing software cache performance of packet processing applications
Proceedings of the 2007 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
A Relational Framework For The Integration Of Specifications
Journal of Integrated Design & Process Science
Model checking electronic commerce protocols
WOEC'96 Proceedings of the 2nd conference on Proceedings of the Second USENIX Workshop on Electronic Commerce - Volume 2
Domains of concern in software architectures and architecture description languages
DSL'97 Proceedings of the Conference on Domain-Specific Languages on Conference on Domain-Specific Languages (DSL), 1997
Configurations of Web Services
Electronic Notes in Theoretical Computer Science (ENTCS)
Concurrent Logic and Automata Combined: A Semantics for Components
Electronic Notes in Theoretical Computer Science (ENTCS)
Nondeterministic Multithreading
IEEE Transactions on Computers
Architecture-driven modelling and analysis
SCS '06 Proceedings of the eleventh Australian workshop on Safety critical systems and software - Volume 69
Towards a Coordination Model for Interactive Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
Model-checking Driven Design of Interactive Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
A Graphical Language for Specifying and Analyzing Real-Time Systems
Integrated Computer-Aided Engineering
Partitioning of Hardware-Software Embedded Systems: A Metrics-based Approach
Integrated Computer-Aided Engineering
A Denotational Semantics for Circus
Electronic Notes in Theoretical Computer Science (ENTCS)
ACM Transactions on Autonomous and Adaptive Systems (TAAS)
Application of Dijkstra's weakest precondition calculus to Dining Philosophers problem
ACM SIGSOFT Software Engineering Notes
ACM Turing award lectures
Theoretical Computer Science
AO4BPEL: An Aspect-oriented Extension to BPEL
World Wide Web
Dataflow-based mapping of computer vision algorithms onto FPGAs
EURASIP Journal on Embedded Systems
The algebra of connectors: structuring interaction in BIP
EMSOFT '07 Proceedings of the 7th ACM & IEEE international conference on Embedded software
Compositional failure-based semantic equivalences for Reo specifications
Proceedings of the 2007 conference on Specification and verification of component-based systems: 6th Joint Meeting of the European Conference on Software Engineering and the ACM SIGSOFT Symposium on the Foundations of Software Engineering
Verification of timed erlang/OTP components using the process algebra μcrl
ERLANG '07 Proceedings of the 2007 SIGPLAN workshop on ERLANG Workshop
Parallel computation in spiking neural nets
Theoretical Computer Science
Foundations and Trends in Web Science
Mop: an efficient and generic runtime verification framework
Proceedings of the 22nd annual ACM SIGPLAN conference on Object-oriented programming systems and applications
Journal of Computer Security - Special issue on CSFW15
Simulations Up-to and Canonical Preorders
Electronic Notes in Theoretical Computer Science (ENTCS)
Process Algebra Having Inherent Choice: Revised Semantics for Concurrent Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
Design automation of real-life asynchronous devices and systems
Foundations and Trends in Electronic Design Automation
Tutorial on separation results in process calculi via leader election problems
Theoretical Computer Science
Parallel on-chip ciphers development for the third generation mobile telecommunication system
ACST'07 Proceedings of the third conference on IASTED International Conference: Advances in Computer Science and Technology
Framed temporal logic programming
Science of Computer Programming
Automated refinement checking of concurrent systems
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Symmetric electoral systems for ambient calculi
Information and Computation
Technological foundations of electronic governance
Proceedings of the 1st international conference on Theory and practice of electronic governance
MTSA: Eclipse support for modal transition systems construction, analysis and elaboration
Proceedings of the 2007 OOPSLA workshop on eclipse technology eXchange
Deriving agent-centred representations of protocols described using propositional statecharts
Proceedings of the 6th international joint conference on Autonomous agents and multiagent systems
Information and Software Technology
NOAH: A CSP-based language for describing the behaviour of coupled models
Software—Practice & Experience
Viewing CSP Specifications with UML-RT Diagrams
Electronic Notes in Theoretical Computer Science (ENTCS)
Electronic Notes in Theoretical Computer Science (ENTCS)
Type Checking Circus Specifications
Electronic Notes in Theoretical Computer Science (ENTCS)
Mathematical Structures in Computer Science
Preservation of probabilistic information flow under refinement
Information and Computation
A formalism for visual security protocol modeling
Journal of Visual Languages and Computing
Patterns for programming in parallel, pedagogically
Proceedings of the 39th SIGCSE technical symposium on Computer science education
Modeling spiking neural networks
Theoretical Computer Science
A formal semantics for Gaia liveness rules and expressions
International Journal of Agent-Oriented Software Engineering
A unified framework for the quality management of manufacturing systems software development
International Journal of Computer Applications in Technology
Formal architectural models for agent-based service systems
International Journal of Computer Applications in Technology
Multi-formalism modelling and model transformation for the design of reactive systems
Proceedings of the 2007 Summer Computer Simulation Conference
Architectural Connectors for Sequence Diagrams
Electronic Notes in Theoretical Computer Science (ENTCS)
Distributed strategic interleaving with load balancing
Future Generation Computer Systems
Automatic Verification of Combined Specifications: An Overview
Electronic Notes in Theoretical Computer Science (ENTCS)
Maurer computers for pipelined instruction processing†
Mathematical Structures in Computer Science
Process knowledge verification method based on petri net
Proceedings of the 1st international conference on Forensic applications and techniques in telecommunications, information, and multimedia and workshop
Electronic Notes in Theoretical Computer Science (ENTCS)
Towards trace semantics for WS-CDL with alignments
Proceedings of the 2008 ACM symposium on Applied computing
A formal architectural model for exception handling coordination
Proceedings of the 2008 ACM symposium on Applied computing
A framework for performance evaluation and functional verification in stochastic process algebras
Proceedings of the 2008 ACM symposium on Applied computing
Towards an ASSL specification model for NASA swarm-based exploration missions
Proceedings of the 2008 ACM symposium on Applied computing
Performance evaluation comes to life: quantitative methods applied to biological systems
ACM SIGMETRICS Performance Evaluation Review
Journal of Systems Architecture: the EUROMICRO Journal
Controllable Delay-Insensitive Processes
Fundamenta Informaticae - The Fourth Special Issue on Applications of Concurrency to System Design (ACSD05)
Maurer Computers with Single-Thread Control
Fundamenta Informaticae
Validating Behavioral Component Interfaces in Rewriting Logic
Fundamenta Informaticae - Behavior of Composed Concurrent Systems: Logic and Reasoning
Interface Automata with Complex Actions: Limiting Interleaving in Interface Automata
Fundamenta Informaticae - Behavior of Composed Concurrent Systems: Logic and Reasoning
An analyzer for extended compositional process algebras
Companion of the 30th international conference on Software engineering
Separation Logic Semantics for Communicating Processes
Electronic Notes in Theoretical Computer Science (ENTCS)
Towards an integrated system model for testing and verification of automation machines
Proceedings of the 2008 international workshop on Models in software engineering
Progressing problems from requirements to specifications in problem frames
Proceedings of the 3rd international workshop on Applications and advances of problem frames
Observable Behavior of Dynamic Systems: Component Reasoning for Concurrent Objects
Electronic Notes in Theoretical Computer Science (ENTCS)
Formalising device driver interfaces
Proceedings of the 4th workshop on Programming languages and operating systems
Embedding Event Algebras and Process for ECA Rules for the Semantic Web
Fundamenta Informaticae
On illegal composition of first-class agent interaction protocols
ACSC '08 Proceedings of the thirty-first Australasian conference on Computer science - Volume 74
Weak parametric failure equivalences and their congruence formats
CATS '08 Proceedings of the fourteenth symposium on Computing: the Australasian theory - Volume 77
Formal security analysis of Australian e-passport implementation
AISC '08 Proceedings of the sixth Australasian conference on Information security - Volume 81
Applying formal methods for the design of wireless telecommunication systems
Proceedings of the 3rd international conference on Mobile multimedia communications
Linking Event-B and Concurrent Object-Oriented Programs
Electronic Notes in Theoretical Computer Science (ENTCS)
More Relational Concurrent Refinement: Traces and Partial Relations
Electronic Notes in Theoretical Computer Science (ENTCS)
General Refinement, Part One: Interfaces, Determinism and Special Refinement
Electronic Notes in Theoretical Computer Science (ENTCS)
General Refinement, Part Two: Flexible Refinement
Electronic Notes in Theoretical Computer Science (ENTCS)
Declarative programming for verification: lessons and outlook
Proceedings of the 10th international ACM SIGPLAN conference on Principles and practice of declarative programming
Electronic Notes in Theoretical Computer Science (ENTCS)
Dually nondeterministic functions
ACM Transactions on Programming Languages and Systems (TOPLAS)
Enforcing behavioral constraints in evolving aspect-oriented programs
Proceedings of the 7th workshop on Foundations of aspect-oriented languages
Proceedings of the 2008 Spring simulation multiconference
Annotation and matching of first-class agent interaction protocols
Proceedings of the 7th international joint conference on Autonomous agents and multiagent systems - Volume 2
CSP parallel composition of aspect models
Proceedings of the 2008 AOSD workshop on Aspect-oriented modeling
Improving an interactive visualization of transition systems
Proceedings of the 4th ACM symposium on Software visualization
Formal interaction specification in public health surveillance systems using π-calculus
Computer Methods and Programs in Biomedicine
Proceedings of the 10th ACM workshop on Multimedia and security
Introducing advanced telematic services in rural areas
Information Polity
Some Verification Issues at NASA Goddard Space Flight Center
Verified Software: Theories, Tools, Experiments
Separation of Concerns and Consistent Integration in Requirements Modelling
SOFSEM '07 Proceedings of the 33rd conference on Current Trends in Theory and Practice of Computer Science
Checking Interaction Consistency in MARMOT Component Refinements
SOFSEM '07 Proceedings of the 33rd conference on Current Trends in Theory and Practice of Computer Science
Contracts for BIP: Hierarchical Interaction Models for Compositional Verification
FORTE '07 Proceedings of the 27th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Compositional Modeling and Minimization of Time-Inhomogeneous Markov Chains
HSCC '08 Proceedings of the 11th international workshop on Hybrid Systems: Computation and Control
Composition of Motion Description Languages
HSCC '08 Proceedings of the 11th international workshop on Hybrid Systems: Computation and Control
25 Years of Model Checking
Timed Mobile Ambients for Network Protocols
FORTE '08 Proceedings of the 28th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
A Hierarchy of Equivalences for Probabilistic Processes
FORTE '08 Proceedings of the 28th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
On the Asynchronous Nature of the Asynchronous Π-Calculus
Concurrency, Graphs and Models
Concurrency, Graphs and Models
Twenty Years on: Reflections on the CEDISYS Project. Combining True Concurrency with Process Algebra
Concurrency, Graphs and Models
A Survey of Formal Verification for Business Process Modeling
ICCS '08 Proceedings of the 8th international conference on Computational Science, Part II
Symmetric and Synchronous Communication in Peer-to-Peer Networks
MPC '08 Proceedings of the 9th international conference on Mathematics of Program Construction
Architecting Dependable Systems V
Plug-and-Play Architectural Design and Verification
Architecting Dependable Systems V
HOPS: A Prototypical Specification Tool for Interactive Systems
Interactive Systems. Design, Specification, and Verification
Towards Validating a Platoon of Cristal Vehicles Using CSP||B
AMAST 2008 Proceedings of the 12th international conference on Algebraic Methodology and Software Technology
Externalizing Java Server Concurrency with CAL
ECOOP '08 Proceedings of the 22nd European conference on Object-Oriented Programming
The Three Platonic Models of Divergence-Strict CSP
Proceedings of the 5th international colloquium on Theoretical Aspects of Computing
Automatic Generation of CSP || B Skeletons from xUML Models
Proceedings of the 5th international colloquium on Theoretical Aspects of Computing
Deriving Structural Labelled Transitions for Mobile Ambients
CONCUR '08 Proceedings of the 19th international conference on Concurrency Theory
A Notion of Glue Expressiveness for Component-Based Systems
CONCUR '08 Proceedings of the 19th international conference on Concurrency Theory
Formal Analysis of Model Transformations Based on Triple Graph Rules with Kernels
ICGT '08 Proceedings of the 4th international conference on Graph Transformations
Verification of Architectural Refactorings: Rule Extraction and Tool Support
ICGT '08 Proceedings of the 4th international conference on Graph Transformations
On the Purpose of Event-B Proof Obligations
ABZ '08 Proceedings of the 1st international conference on Abstract State Machines, B and Z
A Model-Based Framework for Statically and Dynamically Checking Component Interactions
MoDELS '08 Proceedings of the 11th international conference on Model Driven Engineering Languages and Systems
Proceedings of the 2008 ACM annual international conference on SIGAda annual international conference
Animation using temporal constraints: an overview of the animus system
Human-Computer Interaction
A formal security policy for xenon
Proceedings of the 6th ACM workshop on Formal methods in security engineering
Planning as model checking: the performance of ProB vs NuSMV
Proceedings of the 2008 annual research conference of the South African Institute of Computer Scientists and Information Technologists on IT research in developing countries: riding the wave of technology
Using formal specifications to support testing
ACM Computing Surveys (CSUR)
Formal specification and validation of multi-agent behaviour using TLA+ and TLC model checker
International Journal of Artificial Intelligence and Soft Computing
Timetrees: a branching-time structure for modeling activity and state in human-computer interaction
Human-Computer Interaction
Architectural Specification and Static Analyses of Contractual Application Properties
QoSA '08 Proceedings of the 4th International Conference on Quality of Software-Architectures: Models and Architectures
Proceedings of the 2008 RISE/EFTS Joint International Workshop on Software Engineering for Resilient Systems
Transformation of UML Models to CSP: A Case Study for Graph Transformation Tools
Applications of Graph Transformations with Industrial Relevance
Branching Bisimulation Congruence for Probabilistic Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
Specifying and Verifying Event-Based Fairness Enhanced Systems
ICFEM '08 Proceedings of the 10th International Conference on Formal Methods and Software Engineering
Decomposition for Compositional Verification
ICFEM '08 Proceedings of the 10th International Conference on Formal Methods and Software Engineering
Probing the Depths of CSP-M: A New fdr-Compliant Validation Tool
ICFEM '08 Proceedings of the 10th International Conference on Formal Methods and Software Engineering
Specifying and Verifying Sensor Networks: An Experiment of Formal Methods
ICFEM '08 Proceedings of the 10th International Conference on Formal Methods and Software Engineering
On the Challenge of Engineering Socio-technical Systems
Software-Intensive Systems and New Computing Paradigms
Structured Interacting Computations
Software-Intensive Systems and New Computing Paradigms
Extending Formal Methods for Software-Intensive Systems
Software-Intensive Systems and New Computing Paradigms
Performance Modelling of Content Adaptation for a Personal Distributed Environment
Wireless Personal Communications: An International Journal
Teaching Concurrency Concepts to Freshmen
Transactions on Petri Nets and Other Models of Concurrency I
TAPAs: A Tool for the Analysis of Process Algebras
Transactions on Petri Nets and Other Models of Concurrency I
Modeling Communication with Synchronized Environments
Fundamenta Informaticae - Application of Concurrency to System Design (ACSD'06)
A Cancellation Theorem for BCCSP
Fundamenta Informaticae
Causal Semantics for the Algebra of Connectors
Formal Methods for Components and Objects
Fully abstract models and refinements as tools to compare agents in timed coordination languages
Theoretical Computer Science
A Foundational Theory of Contracts for Multi-party Service Composition
Fundamenta Informaticae - Fundamentals of Software Engineering 2007: Selected Contributions
A Logical Reasoning Approach to Automatic Composition of Stateless Components
Fundamenta Informaticae - Fundamentals of Software Engineering 2007: Selected Contributions
Conceptual framework for services creation/development environment in telecom domain
Proceedings of the 10th International Conference on Information Integration and Web-based Applications & Services
Refinement and verification in component-based model-driven design
Science of Computer Programming
Coordinated concurrent memory accesses on a reconfigurable multimedia accelerator
Microprocessors & Microsystems
A formal model of design-patterns based design
Proceedings of the 2nd India software engineering conference
SOFSEM '09 Proceedings of the 35th Conference on Current Trends in Theory and Practice of Computer Science
Decomposition Structures for Event-B
IFM '09 Proceedings of the 7th International Conference on Integrated Formal Methods
Changing System Interfaces Consistently: A New Refinement Strategy for CSP||B
IFM '09 Proceedings of the 7th International Conference on Integrated Formal Methods
IFM '09 Proceedings of the 7th International Conference on Integrated Formal Methods
Modelling Divergence in Relational Concurrent Refinement
IFM '09 Proceedings of the 7th International Conference on Integrated Formal Methods
Model Checking LTL Formulae in RAISE with FDR
IFM '09 Proceedings of the 7th International Conference on Integrated Formal Methods
Automatic Generation of Error Messages for the Symbolic Execution of EB3 Process Expressions
IFM '09 Proceedings of the 7th International Conference on Integrated Formal Methods
Theoretical Computer Science
Semantic Domains for Combining Probability and Non-Determinism
Electronic Notes in Theoretical Computer Science (ENTCS)
Composing architectural aspects based on style semantics
Proceedings of the 8th ACM international conference on Aspect-oriented software development
Modular verification of dynamically adaptive systems
Proceedings of the 8th ACM international conference on Aspect-oriented software development
Abstractions, composition and reasoning
Proceedings of the 13th workshop on Aspect-oriented modeling
Generating priority rewrite systems for OSOS process languages
Information and Computation
(Bi)simulations up-to characterise process semantics
Information and Computation
A formalism for reasoning about UML activity diagrams
Nordic Journal of Computing
A Multi-facet Approach to Dynamic Agent Systems
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Improving the Translation from ECTL to SAT
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Paradigms of Denotational Mathematics for Cognitive Informatics and Cognitive Computing
Fundamenta Informaticae - Cognitive Informatics, Cognitive Computing, and Their Denotational Mathematical Foundations (I)
Fundamenta Informaticae - Cognitive Informatics, Cognitive Computing, and Their Denotational Mathematical Foundations (I)
Compositionality of Model Transformations
Electronic Notes in Theoretical Computer Science (ENTCS)
Formal Modelling of PKI Based Authentication
Electronic Notes in Theoretical Computer Science (ENTCS)
Mathematical Structures in Computer Science
A ground-complete axiomatisation of finite-state processes in a generic process algebra
Mathematical Structures in Computer Science
The impact of requirements changes on specifications and state machines
Software—Practice & Experience
A comparative evaluation of the Z, CSP, RSL, and VDM languages
ACM SIGSOFT Software Engineering Notes
SystemJ compilation using the tandem virtual machine approach
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Model-Based Testing Using Scenarios and Event-B Refinements
Methods, Models and Tools for Fault Tolerance
Data & Knowledge Engineering
Accelerating multi-party scheduling for transaction-level modeling
Proceedings of the 19th ACM Great Lakes symposium on VLSI
Hierarchical Modelling and an Approximate Analysis of Parallel Queues Models to the NGN SCEs
Future Internet --- FIS 2008
Idea: Action Refinement for Security Properties Enforcement
ESSoS '09 Proceedings of the 1st International Symposium on Engineering Secure Software and Systems
Pattern-Based Confidentiality-Preserving Refinement
ESSoS '09 Proceedings of the 1st International Symposium on Engineering Secure Software and Systems
Compile-Time Analysis and Specialization of Clocks in Concurrent Programs
CC '09 Proceedings of the 18th International Conference on Compiler Construction: Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009
Contract-Driven Implementation of Choreographies
Trustworthy Global Computing
Formal Aspects in Security and Trust
Evolution in architectures and programming methodologies of coarse-grained reconfigurable computing
Microprocessors & Microsystems
On the Expressive Power of Process Interruption and Compensation
Web Services and Formal Methods
Contract Compliance and Choreography Conformance in the Presence of Message Queues
Web Services and Formal Methods
Fault, Compensation and Termination in WS-BPEL 2.0 -- A Comparative Analysis
Web Services and Formal Methods
Proof Techniques for Adapter Generation
Web Services and Formal Methods
The VLDB Journal — The International Journal on Very Large Data Bases
Investigating a new formal model for a library system using B method
ACM SIGSOFT Software Engineering Notes
Efficient symbolic computation of process expressions
Science of Computer Programming
On the expressive power of process interruption and compensation
Mathematical Structures in Computer Science
Higher-level hardware synthesis of the KASUMI algorithm
Journal of Computer Science and Technology
Parallel algorithms development for programmable devices with application from cryptography
International Journal of Parallel Programming
Composition semantics for executable and evolvable behavioral modeling in MDA
Proceedings of the 1st Workshop on Behaviour Modelling in Model-Driven Architecture
Parallelizing extensible query optimizers
Proceedings of the 2009 ACM SIGMOD International Conference on Management of data
Comparing State Spaces in Automatic Security Protocol Analysis
Formal to Practical Security
Proceedings of the 2005 conference on New Trends in Software Methodologies, Tools and Techniques: Proceedings of the fourth SoMeT_W05
Formal specification of system functions
MISE '09 Proceedings of the 2009 ICSE Workshop on Modeling in Software Engineering
Reconfigurable Computing: The Theory and Practice of FPGA-Based Computation
Reconfigurable Computing: The Theory and Practice of FPGA-Based Computation
Modeling and Verification of Reactive Software Using LOTOS
Proceedings of the 2005 conference on Software Engineering: Evolution and Emerging Technologies
Automatic Enforcement of Security in Computer Networks
Proceedings of the 2007 conference on New Trends in Software Methodologies, Tools and Techniques: Proceedings of the sixth SoMeT_07
Contract-Based Discovery and Composition of Web Services
Formal Methods for Web Services
The ARC Programming Model -- Language Constructs for Coordination
Electronic Notes in Theoretical Computer Science (ENTCS)
Process Algebra-Based Query Workflows
CAiSE '09 Proceedings of the 21st International Conference on Advanced Information Systems Engineering
A Process-Model for Linear Programs
Types for Proofs and Programs
A Frame for an Urban Traffic Control Architecture
IWINAC '09 Proceedings of the 3rd International Work-Conference on The Interplay Between Natural and Artificial Computation: Part II: Bioinspired Applications in Artificial and Natural Computation
Rate-Based Transition Systems for Stochastic Process Calculi
ICALP '09 Proceedings of the 36th Internatilonal Collogquium on Automata, Languages and Programming: Part II
Formal Definition of XCCS Modelling Language
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
A Translator of Java Programs to TADDs
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Proceedings of the 2009 International Conference on Wireless Communications and Mobile Computing: Connecting the World Wirelessly
Formal methods: Practice and experience
ACM Computing Surveys (CSUR)
Probabilistic and nondeterministic aspects of anonymity
Theoretical Computer Science
Software architecture built from behavior models
ACM SIGSOFT Software Engineering Notes
Global-to-local approach to rigorously developing distributed system with exception handling
Journal of Computer Science and Technology
On CSP Refinement Tests That Run Multiple Copies of a Process
Electronic Notes in Theoretical Computer Science (ENTCS)
Counter Abstraction in the CSP/FDR setting
Electronic Notes in Theoretical Computer Science (ENTCS)
Refinement Sensitive Formal Semantics of State Machines With Persistent Choice
Electronic Notes in Theoretical Computer Science (ENTCS)
CSP-CASL-Prover: A Generic Tool for Process and Data Refinement
Electronic Notes in Theoretical Computer Science (ENTCS)
PVS Embedding of cCSP Semantic Models and Their Relationship
Electronic Notes in Theoretical Computer Science (ENTCS)
The Stable Revivals Model in CSP-Prover
Electronic Notes in Theoretical Computer Science (ENTCS)
Compositional CSP Traces Refinement Checking
Electronic Notes in Theoretical Computer Science (ENTCS)
Testing Finitary Probabilistic Processes
CONCUR 2009 Proceedings of the 20th International Conference on Concurrency Theory
CONCUR 2009 Proceedings of the 20th International Conference on Concurrency Theory
Transmission Protocols for Instruction Streams
ICTAC '09 Proceedings of the 6th International Colloquium on Theoretical Aspects of Computing
A customizable multiprocessor for Globally Asynchronous Locally Synchronous execution
Proceedings of the 7th International Workshop on Java Technologies for Real-Time and Embedded Systems
On the Specification of Full Contracts
Electronic Notes in Theoretical Computer Science (ENTCS)
Exploiting a generic approach for constructing mobile device applications
Proceedings of the Fourth International ICST Conference on COMmunication System softWAre and middlewaRE
IJCAI'97 Proceedings of the Fifteenth international joint conference on Artifical intelligence - Volume 2
Liveness and fairness properties in multi-agent systems
IJCAI'93 Proceedings of the 13th international joint conference on Artifical intelligence - Volume 1
Dynamic verification of trust in distributed open systems
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
Compositional deadlock detection for rendezvous communication
EMSOFT '09 Proceedings of the seventh ACM international conference on Embedded software
A model of events and processes
IJCAI'91 Proceedings of the 12th international joint conference on Artificial intelligence - Volume 1
Monterey Phoenix, or how to make software architecture executable
Proceedings of the 24th ACM SIGPLAN conference companion on Object oriented programming systems languages and applications
A comparative introduction to CSP and ACT-ONE formal languages
ACM SIGSOFT Software Engineering Notes
Achieving dependability in sensor networks through automated requirements-based programming
Computer Communications
Formal automated analysis of object oriented software models
SEA '07 Proceedings of the 11th IASTED International Conference on Software Engineering and Applications
Tracing correct usage of design patterns
SEA '07 Proceedings of the 11th IASTED International Conference on Software Engineering and Applications
Reasoning about the behavior of aspect-oriented programs
SEA '07 Proceedings of the 11th IASTED International Conference on Software Engineering and Applications
Basic protocols, message sequence charts, and the verification of requirements specifications
Computer Networks: The International Journal of Computer and Telecommunications Networking - Telecommunications and UML languages
A standard reference model for intelligent multimedia presentation systems
Computer Standards & Interfaces
Computer Standards & Interfaces
Testing equivalence for asymmetric χ-calculus
AsiaMS '07 Proceedings of the IASTED Asian Conference on Modelling and Simulation
Electronic Notes in Theoretical Computer Science (ENTCS)
An axiom system for sequence-based specification
Theoretical Computer Science
High level specification of non-interference security policies in partitioned MLS systems
CNIS '07 Proceedings of the Fourth IASTED International Conference on Communication, Network and Information Security
Topological Construction of Parameterized Bisimulation Limit
Electronic Notes in Theoretical Computer Science (ENTCS)
QoS-Driven Service Selection and Composition Using Quantitative Constraint Automata
Fundamenta Informaticae - Application of Concurrency to System Design
A formal analysis of database replication protocols with SI replicas and crash failures
The Journal of Supercomputing
Modeling time in computing: A taxonomy and a comparative survey
ACM Computing Surveys (CSUR)
Compositional Specification of Parallel Components Using Circus
Electronic Notes in Theoretical Computer Science (ENTCS)
The engineering of concurrent simulations of complex systems
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Birds on the wall: distributing a process-oriented simulation
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Mobile processes, mobile channels and complex dynamic systems
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Formal Verification for High-Assurance Behavioral Synthesis
ATVA '09 Proceedings of the 7th International Symposium on Automated Technology for Verification and Analysis
Teaching Concurrency: Theory in Practice
TFM '09 Proceedings of the 2nd International Conference on Teaching Formal Methods
Applying Model Checking to Generate Model-Based Integration Tests from Choreography Models
TESTCOM '09/FATES '09 Proceedings of the 21st IFIP WG 6.1 International Conference on Testing of Software and Communication Systems and 9th International FATES Workshop
Model Checking Linearizability via Refinement
FM '09 Proceedings of the 2nd World Congress on Formal Methods
The Denotational Semantics of slotted-Circus
FM '09 Proceedings of the 2nd World Congress on Formal Methods
Compositional Verification of Input-Output Conformance via CSP Refinement Checking
ICFEM '09 Proceedings of the 11th International Conference on Formal Engineering Methods: Formal Methods and Software Engineering
A Verification System for Distributed Objects with Asynchronous Method Calls
ICFEM '09 Proceedings of the 11th International Conference on Formal Engineering Methods: Formal Methods and Software Engineering
A Lazy Unbounded Model Checker for Event-B
ICFEM '09 Proceedings of the 11th International Conference on Formal Engineering Methods: Formal Methods and Software Engineering
Algorithmic Verification with Multiple and Nested Parameters
ICFEM '09 Proceedings of the 11th International Conference on Formal Engineering Methods: Formal Methods and Software Engineering
Verifying Stateful Timed CSP Using Implicit Clocks and Zone Abstraction
ICFEM '09 Proceedings of the 11th International Conference on Formal Engineering Methods: Formal Methods and Software Engineering
The Dual Spatial Connectives of Separation Logic
KSEM '09 Proceedings of the 3rd International Conference on Knowledge Science, Engineering and Management
One Program Model for Cloud Computing
CloudCom '09 Proceedings of the 1st International Conference on Cloud Computing
A Rule Format for Unit Elements
SOFSEM '10 Proceedings of the 36th Conference on Current Trends in Theory and Practice of Computer Science
From Bialgebraic Semantics to Congruence Formats
Electronic Notes in Theoretical Computer Science (ENTCS)
Integrating the Semantics of Deterministic Multi-layered Communication Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
RETRACTED: Semantic Domains for Combining Probability and Non-Determinism
Electronic Notes in Theoretical Computer Science (ENTCS)
Actors and Logical Analysis of Interactive Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
The Role of Agent Interaction in Models of Computing: Panelist Reviews
Electronic Notes in Theoretical Computer Science (ENTCS)
Specifications using XQuery Expressions on Traces
Electronic Notes in Theoretical Computer Science (ENTCS)
Using the Alloy Analyzer to Verify Data Refinement in Z
Electronic Notes in Theoretical Computer Science (ENTCS)
Breaking the Model: Finalisation and a Taxonomy of Security Attacks
Electronic Notes in Theoretical Computer Science (ENTCS)
Formal Verification of Timed Systems Using Cones and Foci
Electronic Notes in Theoretical Computer Science (ENTCS)
Action Refinement for Real-Time Concurrent Processes with Urgency
Electronic Notes in Theoretical Computer Science (ENTCS)
Enforcing Concurrent Temporal Behaviors
Electronic Notes in Theoretical Computer Science (ENTCS)
Electronic Notes in Theoretical Computer Science (ENTCS)
Towards Inconsistency Handling of Object-Oriented Behavioral Models
Electronic Notes in Theoretical Computer Science (ENTCS)
Architectural Prototyping: From CCS to .Net
Electronic Notes in Theoretical Computer Science (ENTCS)
Tarzan: Communicating and Moving in Wireless Jungles
Electronic Notes in Theoretical Computer Science (ENTCS)
Modelling Component Behaviour with Concurrent Automata
Electronic Notes in Theoretical Computer Science (ENTCS)
Electronic Notes in Theoretical Computer Science (ENTCS)
Combining the Box Structure Development Method and CSP for Software Development
Electronic Notes in Theoretical Computer Science (ENTCS)
Truly Concurrent Logic via In-Between Specification
Electronic Notes in Theoretical Computer Science (ENTCS)
Time, Abstraction, Causality and Modularity in Interactive Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
Formal Modeling Of Middleware-based Distributed Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
A Generic Framework for Connector Architectures based on Components and Transformations
Electronic Notes in Theoretical Computer Science (ENTCS)
Applying Generalized Non Deducibility on Compositions (GNDC) Approach in Dependability
Electronic Notes in Theoretical Computer Science (ENTCS)
Logical Specification and Analysis of Fault Tolerant Systems Through Partial Model Checking
Electronic Notes in Theoretical Computer Science (ENTCS)
Compositional Properties of Sequential Processes
Electronic Notes in Theoretical Computer Science (ENTCS)
Checking and Correcting Behaviors of Java Programs at Runtime with Java-MOP
Electronic Notes in Theoretical Computer Science (ENTCS)
An Approach to Post Mortem Diagnosability Analysis for Interacting Finite State Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
Hoare vs Milner: Comparing Synchronizations in a Graphical Framework With Mobility
Electronic Notes in Theoretical Computer Science (ENTCS)
On Timed Models and Full Abstraction
Electronic Notes in Theoretical Computer Science (ENTCS)
A Taxonomy of Web Services Using CSP
Electronic Notes in Theoretical Computer Science (ENTCS)
An Approach to Nondeterminism in Translation of CZ Set Theory into Martin-Löf 's Theory of Types
Electronic Notes in Theoretical Computer Science (ENTCS)
Validating Behavioral Component Interfaces in Rewriting Logic
Electronic Notes in Theoretical Computer Science (ENTCS)
Machine-Verifiable Responsiveness
Electronic Notes in Theoretical Computer Science (ENTCS)
A theory of Stochastic systems. Part II: Process algebra
Information and Computation
Pure bigraphs: Structure and dynamics
Information and Computation
On the specification and implementation of distributed systems using NMDS and LIPS
Information and Software Technology
Communicating sequential processes for distributed constraint satisfaction
Information Sciences: an International Journal
Synthesizing the F8 cryptographic algorithm for programmable devices
ACST '08 Proceedings of the Fourth IASTED International Conference on Advances in Computer Science and Technology
Checking process-oriented operating system behaviour using CSP and refinement
ACM SIGOPS Operating Systems Review
Buffer sharing in CSP-like programs
MEMOCODE'09 Proceedings of the 7th IEEE/ACM international conference on Formal Methods and Models for Codesign
Web services semantic model system
ASID'09 Proceedings of the 3rd international conference on Anti-Counterfeiting, security, and identification in communication
Dynamic architecture for solving optimization problems of operators of mobile telephony
GIIS'09 Proceedings of the Second international conference on Global Information Infrastructure Symposium
Evolutionary design through reflective Petri nets: an application to workflow
SE '08 Proceedings of the IASTED International Conference on Software Engineering
Controlling the speed of Coding Line Conveyor using fuzzy logic
CompSysTech '09 Proceedings of the International Conference on Computer Systems and Technologies and Workshop for PhD Students in Computing
Santa Claus: Formal analysis of a process-oriented solution
ACM Transactions on Programming Languages and Systems (TOPLAS)
An expressiveness study of priority in process calculi
Mathematical Structures in Computer Science
A choreography approach for value-added services creation
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
A process algebra to formalize the Lyee methodology
Knowledge-Based Systems
Implementing Lyee-Calculus in Java
Knowledge-Based Systems
Abstract compositional analysis of iterated relations: a structural approach to complex state transition systems
Automatic verification of sequential infinite-state processes
Automatic verification of sequential infinite-state processes
Interactive Markov chains: and the quest for quantified quality
Interactive Markov chains: and the quest for quantified quality
PREMO: A framework for multimedia middleware: specification, rationale, and java binding
PREMO: A framework for multimedia middleware: specification, rationale, and java binding
Formal system of interactions on biomedical ontology
Proceedings of the 2010 conference on Information Modelling and Knowledge Bases XXI
Checking process-oriented operating system behaviour using CSP and refinement
Proceedings of the Fifth Workshop on Programming Languages and Operating Systems
Default interactions for multi-agent simulations of complex organizations
Proceedings of the International Workshop on Enterprises & Organizational Modeling and Simulation
Science of Computer Programming
Hardware and Petri nets: application to asynchronous circuit design
ICATPN'00 Proceedings of the 21st international conference on Application and theory of petri nets
A compositional model of time Petri nets
ICATPN'00 Proceedings of the 21st international conference on Application and theory of petri nets
ASM'03 Proceedings of the abstract state machines 10th international conference on Advances in theory and practice
Mobile UNITY schemas for agent coordination
ASM'03 Proceedings of the abstract state machines 10th international conference on Advances in theory and practice
Deriving bisimulation congruences: 2-categories vs precategories
FOSSACS'03/ETAPS'03 Proceedings of the 6th International conference on Foundations of Software Science and Computation Structures and joint European conference on Theory and practice of software
More precise descriptions of temporal relations within task models
DSV-IS'00 Proceedings of the 7th international conference on Design, specification, and verification of interactive systems
User interface declarative models and development environments: a survey
DSV-IS'00 Proceedings of the 7th international conference on Design, specification, and verification of interactive systems
Ada-Europe'03 Proceedings of the 8th Ada-Europe international conference on Reliable software technologies
Reliability and availability analysis of self-stabilizing systems
SSS'06 Proceedings of the 8th international conference on Stabilization, safety, and security of distributed systems
Enriched µ-calculi module checking
FOSSACS'07 Proceedings of the 10th international conference on Foundations of software science and computational structures
Semantic barbs and biorthogonality
FOSSACS'07 Proceedings of the 10th international conference on Foundations of software science and computational structures
Compositional theories of qualitative and quantitative behaviour
ICATPN'03 Proceedings of the 24th international conference on Applications and theory of Petri nets
Prototyping object oriented specifications
ICATPN'03 Proceedings of the 24th international conference on Applications and theory of Petri nets
A typed theory for access control and information flow control in mobile systems
ACISP'03 Proceedings of the 8th Australasian conference on Information security and privacy
Security in business process engineering
BPM'03 Proceedings of the 2003 international conference on Business process management
Composing specifications using communication
ZB'03 Proceedings of the 3rd international conference on Formal specification and development in Z and B
Using coupled simulations in non-atomic refinement
ZB'03 Proceedings of the 3rd international conference on Formal specification and development in Z and B
ZB'03 Proceedings of the 3rd international conference on Formal specification and development in Z and B
Proving event ordering properties for information systems
ZB'03 Proceedings of the 3rd international conference on Formal specification and development in Z and B
Scalar outcomes suffice for finitary probabilistic testing
ESOP'07 Proceedings of the 16th European conference on Programming
Deadlock free specification based on local process properties
ICCS'03 Proceedings of the 2003 international conference on Computational science: PartIII
Composing specifications of event based applications
FASE'03 Proceedings of the 6th international conference on Fundamental approaches to software engineering
Model-based development of web applications using graphical reaction rules
FASE'03 Proceedings of the 6th international conference on Fundamental approaches to software engineering
Structured CSP: a process algebra as an institution
WADT'06 Proceedings of the 18th international conference on Recent trends in algebraic development techniques
A (Co)algebraic analysis of synchronization in CSP
WADT'06 Proceedings of the 18th international conference on Recent trends in algebraic development techniques
Towards a theory of refinement in timed coordination languages
COORDINATION'07 Proceedings of the 9th international conference on Coordination models and languages
A theory of nested speculative execution
COORDINATION'07 Proceedings of the 9th international conference on Coordination models and languages
COORDINATION'07 Proceedings of the 9th international conference on Coordination models and languages
Context-based adaptation of component behavioural interfaces
COORDINATION'07 Proceedings of the 9th international conference on Coordination models and languages
A model of behaviour abstraction for communicating processes
STACS'99 Proceedings of the 16th annual conference on Theoretical aspects of computer science
Improving the reuse possibilities of the behavioral aspects of object-oriented domain models
ER'00 Proceedings of the 19th international conference on Conceptual modeling
A layered software specification architecture
ER'00 Proceedings of the 19th international conference on Conceptual modeling
DARX: a self-healing framework for agents
Proceedings of the 12th Monterey conference on Reliable systems on unreliable networked platforms
Using genetic programming to generate protocol adaptors for interprocess communication
ICES'03 Proceedings of the 5th international conference on Evolvable systems: from biology to hardware
Translating Haskell# programs into Petri nets
VECPAR'02 Proceedings of the 5th international conference on High performance computing for computational science
UML behavior: inheritance and implementation in current object-oriented languages
UML'99 Proceedings of the 2nd international conference on The unified modeling language: beyond the standard
SFM'07 Proceedings of the 7th international conference on Formal methods for performance evaluation
A survey of Markovian behavioral equivalences
SFM'07 Proceedings of the 7th international conference on Formal methods for performance evaluation
Tackling large state spaces in performance modelling
SFM'07 Proceedings of the 7th international conference on Formal methods for performance evaluation
Towards feature-oriented specification and development with event-B
REFSQ'07 Proceedings of the 13th international working conference on Requirements engineering: foundation for software quality
The ComBack method: extending hash compaction with backtracking
ICATPN'07 Proceedings of the 28th international conference on Applications and theory of Petri nets and other models of concurrency
Static detection of Livelocks in Ada multitasking programs
Ada-Europe'07 Proceedings of the 12th international conference on Reliable software technologies
Slicing concurrent real-time system specifications for verification
IFM'07 Proceedings of the 6th international conference on Integrated formal methods
Slotted-circus: a UTP-family of reactive theories
IFM'07 Proceedings of the 6th international conference on Integrated formal methods
Inheriting laws for processes with states
IFM'07 Proceedings of the 6th international conference on Integrated formal methods
Proving linearizability via non-atomic refinement
IFM'07 Proceedings of the 6th international conference on Integrated formal methods
Verifying CSP-OZ-DC specifications with complex data types and timing parameters
IFM'07 Proceedings of the 6th international conference on Integrated formal methods
UTP semantics for web services
IFM'07 Proceedings of the 6th international conference on Integrated formal methods
IFM'07 Proceedings of the 6th international conference on Integrated formal methods
Capturing conflict and confusion in CSP
IFM'07 Proceedings of the 6th international conference on Integrated formal methods
An embeddable virtual machine for state space generation
Proceedings of the 14th international SPIN conference on Model checking software
Ready to preorder: get your BCCSP axiomatization for free!
CALCO'07 Proceedings of the 2nd international conference on Algebra and coalgebra in computer science
Refactoring object-oriented specifications with data and processes
FMOODS'07 Proceedings of the 9th IFIP WG 6.1 international conference on Formal methods for open object-based distributed systems
Towards verification-based development of in-vehicle safety critical software: a case study
Proceedings of the 1st Workshop on Critical Automotive applications: Robustness & Safety
An exact correspondence between a typed pi-calculus and polarised proof-nets
Theoretical Computer Science
Alternating states for dual nondeterminism in imperative programming
Theoretical Computer Science
Formal Methods in System Design
An algorithm to generate the context-sensitive synchronized control flow graph
Proceedings of the 2010 ACM Symposium on Applied Computing
FSEN'07 Proceedings of the 2007 international conference on Fundamentals of software engineering
FSEN'07 Proceedings of the 2007 international conference on Fundamentals of software engineering
Automatic composition of stateless components: a logical reasoning approach
FSEN'07 Proceedings of the 2007 international conference on Fundamentals of software engineering
A model of component-based programming
FSEN'07 Proceedings of the 2007 international conference on Fundamentals of software engineering
ICFEM'07 Proceedings of the formal engineering methods 9th international conference on Formal methods and software engineering
Automatic generation of verified concurrent hardware
ICFEM'07 Proceedings of the formal engineering methods 9th international conference on Formal methods and software engineering
Efficient symbolic execution of large quantifications in a process algebra
ICFEM'07 Proceedings of the formal engineering methods 9th international conference on Formal methods and software engineering
A non-interleaving denotational semantics of value passing CCS with action refinement
FAW'07 Proceedings of the 1st annual international conference on Frontiers in algorithmics
Mobile ambients with timers and types
ICTAC'07 Proceedings of the 4th international conference on Theoretical aspects of computing
Measuring anonymity with relative entropy
FAST'06 Proceedings of the 4th international conference on Formal aspects in security and trust
Maintaining information flow security under refinement and transformation
FAST'06 Proceedings of the 4th international conference on Formal aspects in security and trust
A comparison of semantic models for noninterference
FAST'06 Proceedings of the 4th international conference on Formal aspects in security and trust
Rebeca: theory, applications, and tools
FMCO'06 Proceedings of the 5th international conference on Formal methods for components and objects
Using constraints and process algebra for specification of first-class agent interaction protocols
ESAW'06 Proceedings of the 7th international conference on Engineering societies in the agents world VII
Branching vs. linear time: semantical perspective
ATVA'07 Proceedings of the 5th international conference on Automated technology for verification and analysis
Enriched µ-calculus pushdown module checking
LPAR'07 Proceedings of the 14th international conference on Logic for programming, artificial intelligence and reasoning
Netfiles: an enhanced stream-based communication mechanism
ISHPC'05/ALPS'06 Proceedings of the 6th international symposium on high-performance computing and 1st international conference on Advanced low power systems
What semantic equivalences are suitable for non-interference properties in computer security
ICICS'07 Proceedings of the 9th international conference on Information and communications security
A process-algebraic approach to workflow specification and refinement
SC'07 Proceedings of the 6th international conference on Software composition
Process algebras in systems biology
SFM'08 Proceedings of the Formal methods for the design of computer, communication, and software systems 8th international conference on Formal methods for computational systems biology
A formal description language for multi-agent architectures
AOIS'06 Proceedings of the 8th international Bi conference on Agent-oriented information systems IV
Reactivity in systemC transaction-level models
HVC'07 Proceedings of the 3rd international Haifa verification conference on Hardware and software: verification and testing
Automatic synthesis of computation interference constraints for relative timing verification
ICCD'09 Proceedings of the 2009 IEEE international conference on Computer design
The microcosm principle and concurrency in coalgebra
FOSSACS'08/ETAPS'08 Proceedings of the Theory and practice of software, 11th international conference on Foundations of software science and computational structures
Modelling and verification of timed interaction and migration
FASE'08/ETAPS'08 Proceedings of the Theory and practice of software, 11th international conference on Fundamental approaches to software engineering
Verification of architectural refactorings by rule extraction
FASE'08/ETAPS'08 Proceedings of the Theory and practice of software, 11th international conference on Fundamental approaches to software engineering
Verification of higher-order computation: a game-semantic approach
ESOP'08/ETAPS'08 Proceedings of the Theory and practice of software, 17th European conference on Programming languages and systems
Formal approaches to information-hiding (Tutorial)
TGC'07 Proceedings of the 3rd conference on Trustworthy global computing
From "formal methods" to system modeling
Formal methods and hybrid real-time systems
A denotational semantics for Handel-C
Formal methods and hybrid real-time systems
Harnessing rCOS for tool support: the CoCoME experience
Formal methods and hybrid real-time systems
Programmable messaging for electronic government - building a foundation
Formal methods and hybrid real-time systems
Formal methods and hybrid real-time systems
Formal methods and hybrid real-time systems
A Generic Approach to Connector Architectures Part I: The General Framework
Fundamenta Informaticae
A Generic Approach to Connector Architectures Part II: Instantiation to Petri Nets and CSP
Fundamenta Informaticae
The reaction algebra: a formal language for event correlation
Pillars of computer science
Perspectives on denotational mathematics: new means of thought
Transactions on computational science II
On contemporary denotational mathematics for computational intelligence
Transactions on computational science II
On mathematical laws of software
Transactions on computational science II
A comparative study of STOPA and RTPA
Transactions on computational science II
You are not alone: breaking transaction isolation
Proceedings of the 3rd International Workshop on Multicore Software Engineering
Evolution and reactivity in the semantic web
Semantic techniques for the web
Deadlock-freeness of hexagonal systolic arrays
Information Processing Letters
Communications of the ACM
A framework for the semantics of behavioral contracts
Proceedings of the Second International Workshop on Behaviour Modelling: Foundation and Applications
Behavioural model for a business rules based approach to model services
Proceedings of the Second International Workshop on Behaviour Modelling: Foundation and Applications
Dynamic enforcement of abstract separation of duty constraints
ESORICS'09 Proceedings of the 14th European conference on Research in computer security
Reachability in Tree-Like Component Systems is PSPACE-Complete
Electronic Notes in Theoretical Computer Science (ENTCS)
The design of an asynchronous blocksorter
ICNVS'10 Proceedings of the 12th international conference on Networking, VLSI and signal processing
Translation validation of high-level synthesis
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
SystemJ: A GALS language for system level design
Computer Languages, Systems and Structures
Unifying behavioral equivalences of timed transition systems
Programming and Computing Software
Causal semantics for the algebra of connectors
Formal Methods in System Design
Wireless Personal Communications: An International Journal
Agent-oriented programming: from prolog to guarded definite clauses
Agent-oriented programming: from prolog to guarded definite clauses
An Interface Group for Process Components
Fundamenta Informaticae
Agent interaction, multiple perspectives, and swarming simulation
Proceedings of the 9th International Conference on Autonomous Agents and Multiagent Systems: volume 1 - Volume 1
On hierarchically developing reactive systems
Information and Computation
Deriving structural labelled transitions for mobile ambients
Information and Computation
Cybernetics and Systems Analysis
A VHDL-based design methodology for asynchronous circuits
WSEAS Transactions on Circuits and Systems
Using formal methods for security in the Xenon project
Proceedings of the Sixth Annual Workshop on Cyber Security and Information Intelligence Research
On the operating unit size of load/store architectures†
Mathematical Structures in Computer Science
Formalising policies of a mLearning system using CCA
Proceedings of the 4th ACM International Workshop on Context-Awareness for Self-Managing Systems
An approach for machine-assisted verification of Timed CSP specifications
Innovations in Systems and Software Engineering
Reasoning about a distributed probabilistic system
CATS '09 Proceedings of the Fifteenth Australasian Symposium on Computing: The Australasian Theory - Volume 94
Investigating a new formal model for autonomous virtual organisation using RAISE method
International Journal of Networking and Virtual Organisations
An approach for interface generation in the PISH co-design system
SBCCI'99 Proceedings of the XIIth conference on Integrated circuits and systems design
A comparison of semantic models for noninterference
Theoretical Computer Science
Incompleteness of relational simulations in the blocking paradigm
Science of Computer Programming
Application of TLRO to dining philosophers problem
ACM SIGSOFT Software Engineering Notes
Spatial ordering of messages in a cyclic architecture
ACM SIGSOFT Software Engineering Notes
Asynchronous data-driven circuit synthesis
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Buffer sharing in rendezvous programs
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems - Special section on the ACM IEEE international conference on formal methods and models for codesign (MEMOCODE) 2009
CASES '10 Proceedings of the 2010 international conference on Compilers, architectures and synthesis for embedded systems
Representing abstract architectures with axiomatic specifications and activation conditions
ECBS'97 Proceedings of the 1997 international conference on Engineering of computer-based systems
A survey of system design methodologies
ECBS'97 Proceedings of the 1997 international conference on Engineering of computer-based systems
Categorial semantics of a solution to distributed dining philosophers problem
FAW'10 Proceedings of the 4th international conference on Frontiers in algorithmics
Linking algebraic observational equivalence and bisimulation
DLT'10 Proceedings of the 14th international conference on Developments in language theory
ICTAC'10 Proceedings of the 7th International colloquium conference on Theoretical aspects of computing
Specification and verification of a MPI implementation for a MP-SoC
ICTAC'10 Proceedings of the 7th International colloquium conference on Theoretical aspects of computing
Process algebras for collective dynamics
MPC'10 Proceedings of the 10th international conference on Mathematics of program construction
MPC'10 Proceedings of the 10th international conference on Mathematics of program construction
Designing quality business processes for E-government digital services
EGOV'10 Proceedings of the 9th IFIP WG 8.5 international conference on Electronic government
Concurrency and composition in a stochastic world
CONCUR'10 Proceedings of the 21st international conference on Concurrency theory
Component security: issues and an approach
COMPSAC-W'05 Proceedings of the 29th annual international conference on Computer software and applications conference
A formal specification-based approach to distributed parallel programming
HASE'04 Proceedings of the Eighth IEEE international conference on High assurance systems engineering
Linking denotational semantics with operational semantics for web services
Innovations in Systems and Software Engineering
Journal of Computer Security - 7th International Workshop on Issues in the Theory of Security (WITS'07)
Reasoning about nondeterministic and concurrent actions: a process algebra approach
AAAI'96 Proceedings of the thirteenth national conference on Artificial intelligence - Volume 1
UTP'08 Proceedings of the 2nd international conference on Unifying theories of programming
Unifying theories of interrupts
UTP'08 Proceedings of the 2nd international conference on Unifying theories of programming
Unifying input output conformance
UTP'08 Proceedings of the 2nd international conference on Unifying theories of programming
The miracle of reactive programming
UTP'08 Proceedings of the 2nd international conference on Unifying theories of programming
Application of CoSMoS parallel design patterns to a pedestrian simulation
PPAM'09 Proceedings of the 8th international conference on Parallel processing and applied mathematics: Part II
Uniform labeled transition systems for nondeterministic, probabilistic, and stochastic processes
TGC'10 Proceedings of the 5th international conference on Trustworthly global computing
FC'10 Proceedings of the 14th international conference on Financial cryptograpy and data security
A formal specification strategy for electronic commerce
IDEAS'97 Proceedings of the 1997 international conference on International database engineering and applications symposium
Specification for reactive bulk-synchronous programming
EURO-PDP'00 Proceedings of the 8th Euromicro conference on Parallel and distributed processing
Connection between logical and algebraic approaches to concurrent systems†
Mathematical Structures in Computer Science
Testing techniques in software engineering
Testing techniques in software engineering
Role activity diagrams as finite state processes
ISPDC'03 Proceedings of the Second international conference on Parallel and distributed computing
Acme: an architecture description interchange language
CASCON First Decade High Impact Papers
Composing systems while preserving probabilities
EPEW'10 Proceedings of the 7th European performance engineering conference on Computer performance engineering
Models of reactive systems: communication, concurrency, and causality
MBEERTS'07 Proceedings of the 2007 International Dagstuhl conference on Model-based engineering of embedded real-time systems
Research on a novel multi-agents dynamic cooperation method based on associated intent
AICI'10 Proceedings of the 2010 international conference on Artificial intelligence and computational intelligence: Part I
Combining termination criteria by isolating deletion
ICGT'10 Proceedings of the 5th international conference on Graph transformations
A common framework for synchronization in requirements modelling languages
MODELS'10 Proceedings of the 13th international conference on Model driven engineering languages and systems: Part II
Verification architectures: compositional reasoning for real-time systems
IFM'10 Proceedings of the 8th international conference on Integrated formal methods
Systematic translation rules from ASTD to event-B
IFM'10 Proceedings of the 8th international conference on Integrated formal methods
A CSP approach to control in event-B
IFM'10 Proceedings of the 8th international conference on Integrated formal methods
A polyadic pi-calculus approach for the formal specification of UML-RT
Advances in Software Engineering
ACM Transactions on Computational Logic (TOCL)
Exploring inconsistencies between modal transition systems
Software and Systems Modeling (SoSyM)
The use of model transformation in the INESS project
FMCO'09 Proceedings of the 8th international conference on Formal methods for components and objects
Hardness of preorder checking for basic formalisms
LPAR'10 Proceedings of the 16th international conference on Logic for programming, artificial intelligence, and reasoning
Error handling: from theory to practice
ISoLA'10 Proceedings of the 4th international conference on Leveraging applications of formal methods, verification, and validation - Volume Part II
UTP'10 Proceedings of the Third international conference on Unifying theories of programming
Automated multiparameterised verification by cut-offs
ICFEM'10 Proceedings of the 12th international conference on Formal engineering methods and software engineering
Model checking hierarchical probabilistic systems
ICFEM'10 Proceedings of the 12th international conference on Formal engineering methods and software engineering
On the automated implementation of time-based paxos using the IOA compiler
OPODIS'10 Proceedings of the 14th international conference on Principles of distributed systems
Transaction communicators: enabling cooperation among concurrent transactions
Proceedings of the 16th ACM symposium on Principles and practice of parallel programming
Proceedings of the 8th International Conference on Frontiers of Information Technology
Towards handling architecture design, variability and evolution with model transformations
Proceedings of the 5th Workshop on Variability Modeling of Software-Intensive Systems
BAL tool in flexible manufacturing systems
AMAST'10 Proceedings of the 13th international conference on Algebraic methodology and software technology
Formal analysis of message passing
VMCAI'11 Proceedings of the 12th international conference on Verification, model checking, and abstract interpretation
Combinators for message-passing in Haskell
PADL'11 Proceedings of the 13th international conference on Practical aspects of declarative languages
SP'96 Proceedings of the 1996 IEEE conference on Security and privacy
Run-time security evaluation (RTSE) for distributed applications
SP'96 Proceedings of the 1996 IEEE conference on Security and privacy
SP'88 Proceedings of the 1988 IEEE conference on Security and privacy
Noninterference and the composability of security properties
SP'88 Proceedings of the 1988 IEEE conference on Security and privacy
A Case Study on Verifying a Supervisor Component Using McErlang
Electronic Notes in Theoretical Computer Science (ENTCS)
Information Processing Letters
Decomposition tool for event-B
Software—Practice & Experience
Experiences in developing the mCRL2 toolset
Software—Practice & Experience
An annotation-based approach for JCSP concurrent programming: a quantitative study
Proceedings of the 1st workshop on Modularity in systems software
Specification completion for IOCO
Programming and Computing Software
SHIM: a deterministic model for heterogeneous embedded systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Scribbling interactions with a formal foundation
ICDCIT'11 Proceedings of the 7th international conference on Distributed computing and internet technology
Formal verification of SystemCFLspecifications using SPIN
MINO'06 Proceedings of the 5th WSEAS international conference on Microelectronics, nanoelectronics, optoelectronics
Aspect-oriented development using protocol modeling
Transactions on aspect-oriented software development VII
The VATES-diamond as a verifier's best friend
Verification, induction termination analysis
Relation between UML2 activity diagrams and CSP algebra
SMO'05 Proceedings of the 5th WSEAS international conference on Simulation, modelling and optimization
A model transformation approach for verifying multi-agent systems using SPIN
Proceedings of the 2011 ACM Symposium on Applied Computing
Abstracting timing information in UML state charts via temporal ordering and LOTOS
Proceedings of the 6th International Workshop on Automation of Software Test
Applying atomicity and model decomposition to a space craft system in event-B
NFM'11 Proceedings of the Third international conference on NASA Formal methods
Aspect-oriented development using protocol modeling
Transactions on aspect-oriented software development VII
The VATES-diamond as a verifier's best friend
Verification, induction termination analysis
Simulating truly concurrent CSP
SBMF'10 Proceedings of the 13th Brazilian conference on Formal methods: foundations and applications
Constructing replaceable services using operating guidelines and maximal controllers
WS-FM'10 Proceedings of the 7th international conference on Web services and formal methods
A CSP-based framework for the specification, verification, and implementation of adaptive systems
Proceedings of the 6th International Symposium on Software Engineering for Adaptive and Self-Managing Systems
A semantics for Behavior Trees using CSP with specification commands
Science of Computer Programming
Changing system interfaces consistently: A new refinement strategy for CSP||B
Science of Computer Programming
Preserving security properties under refinement
Proceedings of the 7th International Workshop on Software Engineering for Secure Systems
Modeling and synthesis of asynchronous pipelines
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Proceedings of the Third Workshop on Behavioural Modelling
An executable and changeable reference model for the health insurance industry
Proceedings of the Third Workshop on Behavioural Modelling
Integrating behaviour in software models: an event coordination notation -- concepts and prototype
Proceedings of the Third Workshop on Behavioural Modelling
Cybernetics and Systems Analysis
Automated assume-guarantee reasoning for omega-regular systems and specifications
Innovations in Systems and Software Engineering
Graph generation to statically represent CSP processes
LOPSTR'10 Proceedings of the 20th international conference on Logic-based program synthesis and transformation
FM'11 Proceedings of the 17th international conference on Formal methods
Revisiting glue expressiveness in component-based systems
COORDINATION'11 Proceedings of the 13th international conference on Coordination models and languages
CSP as a coordination language
COORDINATION'11 Proceedings of the 13th international conference on Coordination models and languages
A framework for verifying data-centric protocols
FMOODS'11/FORTE'11 Proceedings of the joint 13th IFIP WG 6.1 and 30th IFIP WG 6.1 international conference on Formal techniques for distributed systems
Relational concurrent refinement: timed refinement
FMOODS'11/FORTE'11 Proceedings of the joint 13th IFIP WG 6.1 and 30th IFIP WG 6.1 international conference on Formal techniques for distributed systems
Rule formats for distributivity
LATA'11 Proceedings of the 5th international conference on Language and automata theory and applications
Aspect-oriented model development at different levels of abstraction
ECMFA'11 Proceedings of the 7th European conference on Modelling foundations and applications
RJOP: a customized Java processor for reactive embedded systems
Proceedings of the 48th Design Automation Conference
Composing Event-B specifications: case-study experience
SC'11 Proceedings of the 10th international conference on Software composition
MKM'11 Proceedings of the 18th Calculemus and 10th international conference on Intelligent computer mathematics
A semantic model for many-core parallel computing
COCOA'11 Proceedings of the 5th international conference on Combinatorial optimization and applications
FCT'11 Proceedings of the 18th international conference on Fundamentals of computation theory
On the refinement of liveness properties of distributed systems
Formal Methods in System Design
Axiomatizing weak ready simulation semantics over BCCSP
ICTAC'11 Proceedings of the 8th international conference on Theoretical aspects of computing
Multi-scale modelling of biological systems in process algebra with multi-way synchronisation
Proceedings of the 9th International Conference on Computational Methods in Systems Biology
Modelling concurrency with comtraces and generalized comtraces
Information and Computation
Static livelock analysis in CSP
CONCUR'11 Proceedings of the 22nd international conference on Concurrency theory
Snapshot reachability graphs for Alvis models
KES'11 Proceedings of the 15th international conference on Knowledge-based and intelligent information and engineering systems - Volume Part I
Behavioral theory for session-oriented calculi
Rigorous software engineering for service-oriented systems
Advanced mechanisms for service combination and transactions
Rigorous software engineering for service-oriented systems
On fluidization of discrete event models: observation and control of continuous Petri nets
Discrete Event Dynamic Systems
Reachability for finite-state process algebras using static analysis
RP'11 Proceedings of the 5th international conference on Reachability problems
Hardness of preorder checking for basic formalisms
Theoretical Computer Science
Events, neural systems and time series
ServiceWave'10 Proceedings of the 2010 international conference on Towards a service-based internet
An alternative definition for timed automata composition
ATVA'11 Proceedings of the 9th international conference on Automated technology for verification and analysis
A proposal for transactions in the semantic web
EPIA'11 Proceedings of the 15th Portugese conference on Progress in artificial intelligence
Perceived consistency between process models
Information Systems
Information and Software Technology
FSP and FLTL framework for specification and verification of middle-agents
International Journal of Applied Mathematics and Computer Science - Semantic Knowledge Engineering
Algebraic approach to linking the semantics of web services
Innovations in Systems and Software Engineering
Hume box calculus: robust system development through software transformation
Higher-Order and Symbolic Computation
Formal modeling
The safety-critical java mission model: a formal account
ICFEM'11 Proceedings of the 13th international conference on Formal methods and software engineering
Verification of orchestration systems using compositional partial order reduction
ICFEM'11 Proceedings of the 13th international conference on Formal methods and software engineering
PRTS: an approach for model checking probabilistic real-time hierarchical systems
ICFEM'11 Proceedings of the 13th international conference on Formal methods and software engineering
Towards a model checker for Nesc and wireless sensor networks
ICFEM'11 Proceedings of the 13th international conference on Formal methods and software engineering
A formal approach to analysing knowledge transfer processes in developing countries
SEFM'11 Proceedings of the 9th international conference on Software engineering and formal methods
Approximating Markovian testing equivalence
Theoretical Computer Science
Reputation-based Reliability Prediction of Service Compositions
Electronic Notes in Theoretical Computer Science (ENTCS)
Models for contract conformance
ISoLA'04 Proceedings of the First international conference on Leveraging Applications of Formal Methods
MoDELS'06 Proceedings of the 9th international conference on Model Driven Engineering Languages and Systems
Two-thirds simulation indexes and modal logic characterization
Frontiers of Computer Science in China
Weak Alphabet Merging of Partial Behavior Models
ACM Transactions on Software Engineering and Methodology (TOSEM)
Separation results via leader election problems
FMCO'05 Proceedings of the 4th international conference on Formal Methods for Components and Objects
Security and privacy analysis of RFID systems using model checking
HPCC'06 Proceedings of the Second international conference on High Performance Computing and Communications
Controlling access to documents: a formal access control model
ETRICS'06 Proceedings of the 2006 international conference on Emerging Trends in Information and Communication Security
Runtime model validation with parallel object constraint language
Proceedings of the 8th International Workshop on Model-Driven Engineering, Verification and Validation
Reversing algebraic process calculi
FOSSACS'06 Proceedings of the 9th European joint conference on Foundations of Software Science and Computation Structures
Preserving secrecy under refinement
ICALP'06 Proceedings of the 33rd international conference on Automata, Languages and Programming - Volume Part II
Cognitive informatics and contemporary mathematics for knowledge manipulation
RSKT'06 Proceedings of the First international conference on Rough Sets and Knowledge Technology
JAHUEL: a formal framework for software synthesis
ICFEM'05 Proceedings of the 7th international conference on Formal Methods and Software Engineering
Symbolic verification of distributed real-time systems with complex synchronizations
ICFEM'05 Proceedings of the 7th international conference on Formal Methods and Software Engineering
Automatic refinement checking for b
ICFEM'05 Proceedings of the 7th international conference on Formal Methods and Software Engineering
Slicing an integrated formal method for verification
ICFEM'05 Proceedings of the 7th international conference on Formal Methods and Software Engineering
Visualizing and simulating semantic web services ontologies
ICFEM'05 Proceedings of the 7th international conference on Formal Methods and Software Engineering
A multi-agent framework based on communication and concurrency
IWDC'04 Proceedings of the 6th international conference on Distributed Computing
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Constructing property-oriented models for verification
UTP'06 Proceedings of the First international conference on Unifying Theories of Programming
Unifying theories in proofpower-z
UTP'06 Proceedings of the First international conference on Unifying Theories of Programming
Pointers and records in the unifying theories of programming
UTP'06 Proceedings of the First international conference on Unifying Theories of Programming
ICTAC'04 Proceedings of the First international conference on Theoretical Aspects of Computing
An algebra of petri nets with arc-based time restrictions
ICTAC'04 Proceedings of the First international conference on Theoretical Aspects of Computing
FECT: a modelling framework for automatically composing web services
WAIM'05 Proceedings of the 6th international conference on Advances in Web-Age Information Management
An architecture for modelling emergence in CA-Like systems
ECAL'05 Proceedings of the 8th European conference on Advances in Artificial Life
Verifying χ models of industrial systems with SPIN
ICFEM'06 Proceedings of the 8th international conference on Formal Methods and Software Engineering
Verification of computation orchestration via timed automata
ICFEM'06 Proceedings of the 8th international conference on Formal Methods and Software Engineering
A reasoning method for timed CSP based on constraint solving
ICFEM'06 Proceedings of the 8th international conference on Formal Methods and Software Engineering
Mapping RT-LOTOS specifications into time petri nets
ICFEM'06 Proceedings of the 8th international conference on Formal Methods and Software Engineering
Taking our own medicine: applying the refinement calculus to state-rich refinement model checking
ICFEM'06 Proceedings of the 8th international conference on Formal Methods and Software Engineering
Reactive framework for resource aware distributed computing
ASIAN'04 Proceedings of the 9th Asian Computing Science conference on Advances in Computer Science: dedicated to Jean-Louis Lassez on the Occasion of His 5th Cycle Birthday
Interoperability of constrained finite state automata
ACM SIGSOFT Software Engineering Notes
Correct transformation: From object-based graph grammars to PROMELA
Science of Computer Programming
Science of Computer Programming
Towards a symbolic bisimulation for the spi calculus
MSN'05 Proceedings of the First international conference on Mobile Ad-hoc and Sensor Networks
Grid services adaptation in a grid workflow
GCC'05 Proceedings of the 4th international conference on Grid and Cooperative Computing
Effective representation of RT-LOTOS terms by finite time petri nets
FORTE'06 Proceedings of the 26th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Specifying authentication using signal events in CSP
CISC'05 Proceedings of the First SKLOIS conference on Information Security and Cryptology
Towards formal specification and generation of autonomic policies
EUC'05 Proceedings of the 2005 international conference on Embedded and Ubiquitous Computing
Generating model-based test cases from natural language requirements for space application software
Software Quality Control
rCOS: a formal model-driven engineering method for component-based software
Frontiers of Computer Science in China
SeVe: automatic tool for verification of security protocols
Frontiers of Computer Science in China
Quantitative µ-calculus analysis of power management in wireless networks
ICTAC'06 Proceedings of the Third international conference on Theoretical Aspects of Computing
Model checking duration calculus: a practical approach
ICTAC'06 Proceedings of the Third international conference on Theoretical Aspects of Computing
rCOS: theory and tool for component-based model driven development
FSEN'09 Proceedings of the Third IPM international conference on Fundamentals of Software Engineering
Rule formats for determinism and idempotence
FSEN'09 Proceedings of the Third IPM international conference on Fundamentals of Software Engineering
Applying step coverability trees to communicating component-based systems
FSEN'09 Proceedings of the Third IPM international conference on Fundamentals of Software Engineering
Specification and validation of behavioural protocols in the rCOS modeler
FSEN'09 Proceedings of the Third IPM international conference on Fundamentals of Software Engineering
ABZ'10 Proceedings of the Second international conference on Abstract State Machines, Alloy, B and Z
ABZ'10 Proceedings of the Second international conference on Abstract State Machines, Alloy, B and Z
A model of rational agency for communicating agents
AC'04 Proceedings of the 2004 international conference on Agent Communication
Adding conflict and confusion to CSP
FM'05 Proceedings of the 2005 international conference on Formal Methods
Combining CSP and b for specification and property verification
FM'05 Proceedings of the 2005 international conference on Formal Methods
Operational semantics for model checking circus
FM'05 Proceedings of the 2005 international conference on Formal Methods
Synthesis of distributed processes from scenario-based specifications
FM'05 Proceedings of the 2005 international conference on Formal Methods
Model-checking of specifications integrating processes, data and time
FM'05 Proceedings of the 2005 international conference on Formal Methods
ASD case notes: costs and benefits of applying formal methods to industrial control software
FM'05 Proceedings of the 2005 international conference on Formal Methods
Proceedings of the 5th India Software Engineering Conference
Color-Blind specifications for transformations of reactive synchronous programs
FASE'05 Proceedings of the 8th international conference, held as part of the joint European Conference on Theory and Practice of Software conference on Fundamental Approaches to Software Engineering
Towards architectural connectors for UML
Formal Methods in Software and Systems Modeling
On the relationships between notions of simulation-based security
TCC'05 Proceedings of the Second international conference on Theory of Cryptography
A generic theorem prover of CSP refinement
TACAS'05 Proceedings of the 11th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Incremental verification of component-based timed systems
International Journal of Computer Applications in Technology
Preserving contexts for soft conformance relation
FATES'04 Proceedings of the 4th international conference on Formal Approaches to Software Testing
Checking the validity of scenarios in UML models
FMOODS'05 Proceedings of the 7th IFIP WG 6.1 international conference on Formal Methods for Open Object-Based Distributed Systems
A semantics for UML-RT active classes via mapping into circus
FMOODS'05 Proceedings of the 7th IFIP WG 6.1 international conference on Formal Methods for Open Object-Based Distributed Systems
Property-driven development of a coordination model for distributed simulations
FMOODS'05 Proceedings of the 7th IFIP WG 6.1 international conference on Formal Methods for Open Object-Based Distributed Systems
Protocol system integration, interface and interoperability
OPODIS'04 Proceedings of the 8th international conference on Principles of Distributed Systems
PARRAY: a unifying array representation for heterogeneous parallelism
Proceedings of the 17th ACM SIGPLAN symposium on Principles and Practice of Parallel Programming
Dependability and flexibility centered approach for composite web services modeling
ODBASE'06/OTM'06 Proceedings of the 2006 Confederated international conference on On the Move to Meaningful Internet Systems: CoopIS, DOA, GADA, and ODBASE - Volume Part I
Nondeterministic fuzzy automata
Information Sciences: an International Journal
Timed equivalences for timed event structures
PaCT'05 Proceedings of the 8th international conference on Parallel Computing Technologies
Towards a formal specification of an electronic payment system in CSP-CASL
WADT'04 Proceedings of the 17th international conference on Recent Trends in Algebraic Development Techniques
Stochastic transition systems for continuous state spaces and non-determinism
FOSSACS'05 Proceedings of the 8th international conference on Foundations of Software Science and Computation Structures
Exploiting empirical engagement in authentication protocol design
SPC'05 Proceedings of the Second international conference on Security in Pervasive Computing
Enforcing distributed information flow policies architecturally: the SAID approach
COORDINATION'05 Proceedings of the 7th international conference on Coordination Models and Languages
ZB'05 Proceedings of the 4th international conference on Formal Specification and Development in Z and B
A stepwise development of the peterson's mutual exclusion algorithm using b abstract systems
ZB'05 Proceedings of the 4th international conference on Formal Specification and Development in Z and B
ZB'05 Proceedings of the 4th international conference on Formal Specification and Development in Z and B
A component-oriented model for the design of safe multi-threaded applications
CBSE'05 Proceedings of the 8th international conference on Component-Based Software Engineering
ESL Design and Verification: A Prescription for Electronic System Level Methodology
ESL Design and Verification: A Prescription for Electronic System Level Methodology
Mobile distributed programming in X-KLAIM
SFM-Moby'05 Proceedings of the 5th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems: mobile computing
Evolution and reactivity for the web
Proceedings of the First international conference on Reasoning Web
A generic framework for population-based algorithms, implemented on multiple FPGAs
ICARIS'05 Proceedings of the 4th international conference on Artificial Immune Systems
Complete axioms for stateless connectors
CALCO'05 Proceedings of the First international conference on Algebra and Coalgebra in Computer Science
Final semantics for event-pattern reactive programs
CALCO'05 Proceedings of the First international conference on Algebra and Coalgebra in Computer Science
A generic model for analyzing security protocols
MMM-ACNS'05 Proceedings of the Third international conference on Mathematical Methods, Models, and Architectures for Computer Network Security
Quantifying probabilistic information flow in computational reactive systems
ESORICS'05 Proceedings of the 10th European conference on Research in Computer Security
Application of formal methods to the analysis of web services security
EPEW'05/WS-FM'05 Proceedings of the 2005 international conference on European Performance Engineering, and Web Services and Formal Methods, international conference on Formal Techniques for Computer Systems and Business Processes
Executable semantics for compensating CSP
EPEW'05/WS-FM'05 Proceedings of the 2005 international conference on European Performance Engineering, and Web Services and Formal Methods, international conference on Formal Techniques for Computer Systems and Business Processes
Towards a formal methodology for designing multi-agent applications
MATES'05 Proceedings of the Third German conference on Multiagent System Technologies
Multi-agent system specification using TCOZ
MATES'05 Proceedings of the Third German conference on Multiagent System Technologies
Flowgraph stream parallel programming: Language, process model, and computer implementation
Journal of Computer and Systems Sciences International
The evolution of higher-level biochemical reaction models
Genetic Programming and Evolvable Machines
Analysis of compositional conflicts in component-based systems
SC'05 Proceedings of the 4th international conference on Software Composition
Compositionality of fixpoint logic with chop
ICTAC'05 Proceedings of the Second international conference on Theoretical Aspects of Computing
Linking theories of concurrency
ICTAC'05 Proceedings of the Second international conference on Theoretical Aspects of Computing
Weak stochastic bisimulation for non-markovian processes
ICTAC'05 Proceedings of the Second international conference on Theoretical Aspects of Computing
Using fairness constraints in process-algebraic verification
ICTAC'05 Proceedings of the Second international conference on Theoretical Aspects of Computing
A composition operator for systems with active and passive actions
FORTE'05 Proceedings of the 25th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Deriving non-determinism from conjunction and disjunction
FORTE'05 Proceedings of the 25th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Submodule construction for extended state machine models
FORTE'05 Proceedings of the 25th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Properties as processes: their specification and verification
FORTE'05 Proceedings of the 25th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Application of model checking to AXML system's security: a case study
WS-FM'06 Proceedings of the Third international conference on Web Services and Formal Methods
A UML profile for asynchronous hardware design
SAMOS'06 Proceedings of the 6th international conference on Embedded Computer Systems: architectures, Modeling, and Simulation
Interface input/output automata
FM'06 Proceedings of the 14th international conference on Formal Methods
Automatic translation from Circus to Java
FM'06 Proceedings of the 14th international conference on Formal Methods
Checking a mutex algorithm in a process algebra with fairness
CONCUR'06 Proceedings of the 17th international conference on Concurrency Theory
A complete axiomatic semantics for the CSP stable-failures model
CONCUR'06 Proceedings of the 17th international conference on Concurrency Theory
A language for task orchestration and its semantic properties
CONCUR'06 Proceedings of the 17th international conference on Concurrency Theory
Behavioral types for embedded software: a survey
Component-Based Software Development for Embedded Systems
Achieving fault tolerance by a formally validated interaction policy
Rigorous Development of Complex Fault-Tolerant Systems
Compositional reasoning for probabilistic finite-state behaviors
Processes, Terms and Cycles
Finite equational bases in process algebra: results and open questions
Processes, Terms and Cycles
The sweep-line state space exploration method
Theoretical Computer Science
Information and Computation
BDD-Based hardware verification
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
Assessing 3-d integrated software development processes: a new benchmark
SPW/ProSim'06 Proceedings of the 2006 international conference on Software Process Simulation and Modeling
Compositional reasoning for pointer structures
MPC'06 Proceedings of the 8th international conference on Mathematics of Program Construction
Model transformations incorporating multiple views
AMAST'06 Proceedings of the 11th international conference on Algebraic Methodology and Software Technology
Architectural building blocks for plug-and-play system design
CBSE'06 Proceedings of the 9th international conference on Component-Based Software Engineering
Synthesizing concurrency control components from process algebraic specifications
COORDINATION'06 Proceedings of the 8th international conference on Coordination Models and Languages
Actors, roles and coordinators — a coordination model for open distributed and embedded systems
COORDINATION'06 Proceedings of the 8th international conference on Coordination Models and Languages
Underspecification, inherent nondeterminism and probability in sequence diagrams
FMOODS'06 Proceedings of the 8th IFIP WG 6.1 international conference on Formal Methods for Open Object-Based Distributed Systems
A step towards merging xUML and CSP || B
Rigorous Methods for Software Construction and Analysis
Compositionality: ontology and mereology of domains
Concurrency, Compositionality, and Correctness
Synchronous message passing: on the relation between bisimulation and refusal equivalence
Concurrency, Compositionality, and Correctness
A test calculus framework applied to network security policies
FATES'06/RV'06 Proceedings of the First combined international conference on Formal Approaches to Software Testing and Runtime Verification
B'07 Proceedings of the 7th international conference on Formal Specification and Development in B
Augmenting b with control annotations
B'07 Proceedings of the 7th international conference on Formal Specification and Development in B
Refinement of EB3 process patterns into B specifications
B'07 Proceedings of the 7th international conference on Formal Specification and Development in B
Rôle of domain engineering in software development—why current requirements engineering is flawed !
PSI'09 Proceedings of the 7th international Andrei Ershov Memorial conference on Perspectives of Systems Informatics
Modelling illocutionary structure: combining empirical studies with formal model analysis
CICLing'10 Proceedings of the 11th international conference on Computational Linguistics and Intelligent Text Processing
Retaining the probabilities in probabilistic testing theory
FOSSACS'10 Proceedings of the 13th international conference on Foundations of Software Science and Computational Structures
Client synthesis for aspect oriented web services
Monterey'08 Proceedings of the 15th Monterey conference on Foundations of Computer Software: future Trends and Techniques for Development
SBMF'11 Proceedings of the 14th Brazilian conference on Formal Methods: foundations and Applications
Retracing the semantics of CSP
CSP'04 Proceedings of the 2004 international conference on Communicating Sequential Processes: the First 25 Years
CSP'04 Proceedings of the 2004 international conference on Communicating Sequential Processes: the First 25 Years
Linking theories of concurrency
CSP'04 Proceedings of the 2004 international conference on Communicating Sequential Processes: the First 25 Years
Models for data-flow sequential processes
CSP'04 Proceedings of the 2004 international conference on Communicating Sequential Processes: the First 25 Years
Implementation of handshake components
CSP'04 Proceedings of the 2004 international conference on Communicating Sequential Processes: the First 25 Years
A trace semantics for long-running transactions
CSP'04 Proceedings of the 2004 international conference on Communicating Sequential Processes: the First 25 Years
Model-Based design of concurrent programs
CSP'04 Proceedings of the 2004 international conference on Communicating Sequential Processes: the First 25 Years
Of probabilistic wp and CSP - and compositionality
CSP'04 Proceedings of the 2004 international conference on Communicating Sequential Processes: the First 25 Years
Verifying security protocols: an application of CSP
CSP'04 Proceedings of the 2004 international conference on Communicating Sequential Processes: the First 25 Years
Applied formal methods – from CSP to executable hybrid specifications
CSP'04 Proceedings of the 2004 international conference on Communicating Sequential Processes: the First 25 Years
Adaptive techniques for specification matching in embedded systems: a comparative study
IFM'05 Proceedings of the 5th international conference on Integrated Formal Methods
State/Event software verification for branching-time specifications
IFM'05 Proceedings of the 5th international conference on Integrated Formal Methods
Chunks: component verification in CSP ∥ b
IFM'05 Proceedings of the 5th international conference on Integrated Formal Methods
Agile formal method engineering
IFM'05 Proceedings of the 5th international conference on Integrated Formal Methods
IFM'05 Proceedings of the 5th international conference on Integrated Formal Methods
Synthesizing b specifications from EB3 attribute definitions
IFM'05 Proceedings of the 5th international conference on Integrated Formal Methods
Analysing a biometric authentication protocol for 3g mobile systems using CSP and rank functions
SPC'06 Proceedings of the Third international conference on Security in Pervasive Computing
Refinement and consistency in component models with multiple views
Proceedings of the 2004 international conference on Architecting Systems with Trustworthy Components
Exceptions in component interaction protocols – necessity
Proceedings of the 2004 international conference on Architecting Systems with Trustworthy Components
Coalgebraic semantics for component systems
Proceedings of the 2004 international conference on Architecting Systems with Trustworthy Components
Empirical Software Engineering and Verification
Causality in structured occurrence nets
Dependable and Historic Computing
The subject-oriented approach to software design and the abstract state machines method
Conceptual Modelling and Its Theoretical Foundations
Proceedings of the 50th Annual Southeast Regional Conference
Value-passing CCS with noisy channels
Theoretical Computer Science
Extending distributed shared memory for the cell broadband engine to a channel model
PARA'10 Proceedings of the 10th international conference on Applied Parallel and Scientific Computing - Volume Part I
Towards bialgebraic semantics for the linear time --- branching time spectrum
WADT'10 Proceedings of the 20th international conference on Recent Trends in Algebraic Development Techniques
Compositional modelling and reasoning in an institution for processes and data
WADT'10 Proceedings of the 20th international conference on Recent Trends in Algebraic Development Techniques
Palovca: describing and executing graph algorithms in haskell
PADL'12 Proceedings of the 14th international conference on Practical Aspects of Declarative Languages
Formalisation and implementation of the XACML access control mechanism
ESSoS'12 Proceedings of the 4th international conference on Engineering Secure Software and Systems
Behavioral interface specification languages
ACM Computing Surveys (CSUR)
Shared event composition/decomposition in event-b
FMCO'10 Proceedings of the 9th international conference on Formal Methods for Components and Objects
Automated verification of executable UML models
FMCO'10 Proceedings of the 9th international conference on Formal Methods for Components and Objects
The equational theory of weak complete simulation semantics over BCCSP
SOFSEM'12 Proceedings of the 38th international conference on Current Trends in Theory and Practice of Computer Science
Connectors as designs: Modeling, refinement and test case generation
Science of Computer Programming
Rule formats for determinism and idempotence
Science of Computer Programming
A model checking framework for hierarchical systems
ASE '11 Proceedings of the 2011 26th IEEE/ACM International Conference on Automated Software Engineering
Semantics of non-deterministic possibility computation
Fuzzy Sets and Systems
Occam-pi for programming of massively parallel reconfigurable architectures
International Journal of Reconfigurable Computing
Deriving two-phase modules for a multi-target hardware compiler
DCC'96 Proceedings of the 3rd international conference on Designing Correct Circuits
Using temporal logic in the specification of reactive and interactive systems
FAC-FA'96 Proceedings of the 1996 BCS-FACS conference on Formal Aspects of the Human Computer Interface
The user context and formal specification in interactive system design
FAC-FA'96 Proceedings of the 1996 BCS-FACS conference on Formal Aspects of the Human Computer Interface
Design and verification of a coherent shared memory
FAC-RW'96 Proceedings of the BCS-FACS 7th conference on Refinement
Structured Communication-Centered Programming for Web Services
ACM Transactions on Programming Languages and Systems (TOPLAS)
A comparison of three model checkers applied to a distributed database problem
IW-FM'00 Proceedings of the 4th Irish conference on Formal Methods
An algebraic basis for specifying and enforcing access control in security systems
IW-FM'00 Proceedings of the 4th Irish conference on Formal Methods
A concurrent language for refinement
IW-FM'01 Proceedings of the 5th Irish conference on Formal Methods
Feature interactions: a mixed semantic model approach
IW-FM'97 Proceedings of the 1st Irish conference on Formal Methods
A refinement calculus for communicating processes with state
IW-FM'97 Proceedings of the 1st Irish conference on Formal Methods
An axiomatic semantics for data-parallel computation
IW-FM'97 Proceedings of the 1st Irish conference on Formal Methods
An unbounded nondeterministic model for CSP-like Languages
IW-FM'98 Proceedings of the 2nd Irish conference on Formal Methods
VECoS'08 Proceedings of the Second international conference on Verification and Evaluation of Computer and Communication Systems
Events, causality and symmetry
VoCS'08 Proceedings of the 2008 international conference on Visions of Computer Science: BCS International Academic Conference
Grand challenge 7: journeys in non-classical computation
VoCS'08 Proceedings of the 2008 international conference on Visions of Computer Science: BCS International Academic Conference
Towards a new conceptual framework for the modelling of dynamically distributed systems
1FACS'96 Proceedings of the 1st BCS-FACS conference on Northern Formal Methods
A formally based framework for supporting design and analysis of asynchronous hardware systems
1FACS'96 Proceedings of the 1st BCS-FACS conference on Northern Formal Methods
Analysis of the four-slot mechanism
1FACS'96 Proceedings of the 1st BCS-FACS conference on Northern Formal Methods
A model for dynamic state-based systems
1FACS'96 Proceedings of the 1st BCS-FACS conference on Northern Formal Methods
A CSP model for hybrid automata
3FACS'98 Proceedings of the 3rd BCS-FACS conference on Northern Formal Methods
Analyzing Java classloader deadlocks using CSP and FDR
FACS-FMI'07 Proceedings of the 2007th internatioanal conference on Formal Methods in Industry
An overview of the SystemB collaborative project
FACS-FMI'07 Proceedings of the 2007th internatioanal conference on Formal Methods in Industry
Integrating process modelling and soft systems analysis
Methods'96 Proceedings of the 1996 international conference on Methods Integration
JSD = Δ CSP ⊕ TLZ: a case study
Methods'96 Proceedings of the 1996 international conference on Methods Integration
Structured axiomatic semantics for UML models
ROOM'00 Proceedings of the 2000 international conference on Rigorous Object-Oriented Methods
Logic, damned logic, and statistics
TFM'06 Proceedings of the 2006 conference on Teaching Formal Methods: practice and experience
On the automatic verification of non-standard measures of consistency
IWFM'03 Proceedings of the 6th international conference on Formal Methods
Proceedings of the 27th Annual ACM Symposium on Applied Computing
Formal Verification of Compiler Transformations for Speculative Real-Time Execution
Automatica (Journal of IFAC)
Generating a Petri net from a CSP specification: A semantics-based method
Advances in Engineering Software
SAT-solving in CSP trace refinement
Science of Computer Programming
Designing a multiway synchronization protocol
Computer Communications
Probabilistic CSP: preserving the laws via restricted schedulers
MMB'12/DFT'12 Proceedings of the 16th international GI/ITG conference on Measurement, Modelling, and Evaluation of Computing Systems and Dependability and Fault Tolerance
Asynchronous Logic Circuits and Sheaf Obstructions
Electronic Notes in Theoretical Computer Science (ENTCS)
Computer Science Review
Towards the Automated Engineering of Dependable Adaptive Services
CCGRID '12 Proceedings of the 2012 12th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (ccgrid 2012)
Code generation for a family of executable modelling notations
Software and Systems Modeling (SoSyM)
Motivation modelling for human-service interaction
Proceedings of the Fourth Workshop on Behaviour Modelling - Foundations and Applications
PSI'11 Proceedings of the 8th international conference on Perspectives of System Informatics
Integrated operational semantics: small-step, big-step and multi-step
ABZ'12 Proceedings of the Third international conference on Abstract State Machines, Alloy, B, VDM, and Z
A system for compositional verification of asynchronous objects
Science of Computer Programming
Critical systems development methodology using formal techniques
Proceedings of the Third Symposium on Information and Communication Technology
An Operational Petri Net Semantics for A 2CCS
Fundamenta Informaticae
CAV'12 Proceedings of the 24th international conference on Computer Aided Verification
Fundamenta Informaticae - Cognitive Informatics, Cognitive Computing, and Their Denotational Mathematical Foundations (I)
System-level approach to the design of a smart distributed surveillance system using systemj
ACM Transactions on Embedded Computing Systems (TECS)
Towards a formal integrated model of collaborative healthcare workflows
FHIES'11 Proceedings of the First international conference on Foundations of Health Informatics Engineering and Systems
Experiences with a compositional model checker in the healthcare domain
FHIES'11 Proceedings of the First international conference on Foundations of Health Informatics Engineering and Systems
A programming tutor for haskell
CEFP'11 Proceedings of the 4th Summer School conference on Central European Functional Programming School
Reasoning about i/o in functional programs
CEFP'11 Proceedings of the 4th Summer School conference on Central European Functional Programming School
Formal Definition of XCCS Modelling Language
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
A Translator of Java Programs to TADDs
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
QoS-Driven Service Selection and Composition Using Quantitative Constraint Automata
Fundamenta Informaticae - Application of Concurrency to System Design
Embedding Event Algebras and Process for ECA Rules for the Semantic Web
Fundamenta Informaticae
Validating Behavioral Component Interfaces in Rewriting Logic
Fundamenta Informaticae - Behavior of Composed Concurrent Systems: Logic and Reasoning
Interface Automata with Complex Actions: Limiting Interleaving in Interface Automata
Fundamenta Informaticae - Behavior of Composed Concurrent Systems: Logic and Reasoning
A Multi-facet Approach to Dynamic Agent Systems
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Improving the Translation from ECTL to SAT
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Modeling Communication with Synchronized Environments
Fundamenta Informaticae - Application of Concurrency to System Design (ACSD'06)
A Cancellation Theorem for BCCSP
Fundamenta Informaticae
A Foundational Theory of Contracts for Multi-party Service Composition
Fundamenta Informaticae - Fundamentals of Software Engineering 2007: Selected Contributions
A Logical Reasoning Approach to Automatic Composition of Stateless Components
Fundamenta Informaticae - Fundamentals of Software Engineering 2007: Selected Contributions
Controllable Delay-Insensitive Processes
Fundamenta Informaticae - The Fourth Special Issue on Applications of Concurrency to System Design (ACSD05)
FAST'11 Proceedings of the 8th international conference on Formal Aspects of Security and Trust
Conformance relations for labeled event structures
TAP'12 Proceedings of the 6th international conference on Tests and Proofs
Revising and extending the uppaal communication mechanism
SC'12 Proceedings of the 11th international conference on Software Composition
Maurer Computers with Single-Thread Control
Fundamenta Informaticae
Verification and Implementation of Delay-Insensitive Processes in Restrictive Environments
Fundamenta Informaticae - APPLICATION OF CONCURRENCY TO SYSTEM DESIGN (ACSD'04)
Safety and line capacity in railways --- an approach in timed CSP
IFM'12 Proceedings of the 9th international conference on Integrated Formal Methods
Observational Equivalences for Timed Stable Event Structures
Fundamenta Informaticae - SPECIAL ISSUE ON CONCURRENCY SPECIFICATION AND PROGRAMMING (CS&P 2005) Ruciane-Nide, Poland, 28-30 September 2005
A Component Coordination Model Based on Mobile Channels
Fundamenta Informaticae
Relating Communicating Processes with Different Interfaces
Fundamenta Informaticae
Concrete and Abstract Semantics to Check Secure Information Flow in Concurrent Programs
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P 2003)
Some Remarks on Sets of Communicating Sequential Processes in Topological Rough Set Framework
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P 2003)
Open Maps and Observational Equivalences for Timed Partial Order Models
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P 2003)
Quasi-static Scheduling for Concurrent Architectures
Fundamenta Informaticae - Application of Concurrency to System Design (ACSD'03)
Formal Refinement Checking in a System-level Design Methodology
Fundamenta Informaticae - Application of Concurrency to System Design (ACSD'03)
Modeling and Verification of Reactive Systems using Rebeca
Fundamenta Informaticae
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P'2002), Part 1
Fundamenta Informaticae
A Set-Theoretic Framework for Component Composition
Fundamenta Informaticae
Fundamenta Informaticae
Minimizing the Number of Successor States in the Stubborn Set Method
Fundamenta Informaticae - Concurrency Specification and Programming Workshop (CS&P'2001)
Comparing Refinements for Failure and Bisimulation Semantics
Fundamenta Informaticae
Process Algebras for Network Communication
Fundamenta Informaticae
On Different Models for Packet Flow in Multistage Interconnection Networks
Fundamenta Informaticae
Behaviour Abstraction for Communicating Sequential Processes
Fundamenta Informaticae
Formalisation of a Production System Modelling Language The operational semantics of χ Core
Fundamenta Informaticae
Representing Hand-Shake Channel Communication in the Calculus of Cause-Effect Structures
Fundamenta Informaticae
On the Relationships among four Timed Process Algebras
Fundamenta Informaticae
An Acceptance Vector Semantics for Path Programs
Fundamenta Informaticae
Semantics Of Timed Concurrent Systems
Fundamenta Informaticae
Discrete Time Process Algebra: Absolute Time, Relative Time And Parametric Time
Fundamenta Informaticae
Mechanization Of Real-Time Linear Csp With Higher Order Logic
Fundamenta Informaticae
Atomicity And Concurrency Control In Process Calculi
Fundamenta Informaticae
A Concurrent Functional Semantics for a Process Algebra Based on Action Systems
Fundamenta Informaticae
Fundamenta Informaticae
ON FOUR PARTIAL ORDERING SEMANTICS FOR A PROCESS CALCULUS
Fundamenta Informaticae
Rule formats for distributivity
Theoretical Computer Science
Rational Processes And Linear Systems In Gsp
Fundamenta Informaticae
Combining Ccs And Petri Nets Via Structural Axioms
Fundamenta Informaticae
Deadlock-freedom in component systems with architectural constraints
Formal Methods in System Design
Software engineering as the design theoretic transformation of software problems
Innovations in Systems and Software Engineering
To boldly go: an occam-π mission to engineer emergence
Natural Computing: an international journal
Conflict-preserving abstraction of discrete event systems using annotated automata
Discrete Event Dynamic Systems
Through the concurrency gateway: a challenge from the near future of graphics hardware
EG PGV'04 Proceedings of the 5th Eurographics conference on Parallel Graphics and Visualization
A stochastic semantics for bioambients
PaCT'07 Proceedings of the 9th international conference on Parallel Computing Technologies
The saga of the axiomatization of parallel composition
CONCUR'07 Proceedings of the 18th international conference on Concurrency Theory
Is observational congruence axiomatisable in equational horn logic?
CONCUR'07 Proceedings of the 18th international conference on Concurrency Theory
Pushdown module checking with imperfect information
CONCUR'07 Proceedings of the 18th international conference on Concurrency Theory
A comparative study of two formal specification languages: Z-notation & B-method
Proceedings of the Second International Conference on Computational Science, Engineering and Information Technology
A modelling method for rigorous and automated design of large-scale industrial systems
MODELS'07 Proceedings of the 10th international conference on Model Driven Engineering Languages and Systems
Ready simulation for concurrency: it's logical!
ICALP'07 Proceedings of the 34th international conference on Automata, Languages and Programming
DirectFlow: a domain-specific language for information-flow systems
ECOOP'07 Proceedings of the 21st European conference on Object-Oriented Programming
A conformance checker tool CSPConCheck
ICTAC'12 Proceedings of the 9th international conference on Theoretical Aspects of Computing
Model checking under fairness in proB and its application to fair exchange protocols
ICTAC'12 Proceedings of the 9th international conference on Theoretical Aspects of Computing
CONCUR'12 Proceedings of the 23rd international conference on Concurrency Theory
All linear-time congruences for familiar operators part 2: infinite LTSs
CONCUR'12 Proceedings of the 23rd international conference on Concurrency Theory
Compositional reasoning about shared futures
SEFM'12 Proceedings of the 10th international conference on Software Engineering and Formal Methods
Weaving-based configuration and modular transformation of multi-layer systems
MODELS'12 Proceedings of the 15th international conference on Model Driven Engineering Languages and Systems
A framework for modelling security architectures in services ecosystems
ESOCC'12 Proceedings of the First European conference on Service-Oriented and Cloud Computing
Open bisimulation for quantum processes
TCS'12 Proceedings of the 7th IFIP TC 1/WG 202 international conference on Theoretical Computer Science
Formal analysis of TESLA protocol in the timed OTS/CafeOBJ method
ISoLA'12 Proceedings of the 5th international conference on Leveraging Applications of Formal Methods, Verification and Validation: applications and case studies - Volume Part II
Information flow in systems with schedulers, Part I: Definitions
Theoretical Computer Science
A generalised parallel architecture for image based algorithms
EGGH'89 Proceedings of the Fourth Eurographics conference on Advances in Computer Graphics Hardware
Pushdown module checking with imperfect information
Information and Computation
Validation of formal models by refinement animation
Science of Computer Programming
Achieving interoperability through semantics-based technologies: the instant messaging case
ISWC'12 Proceedings of the 11th international conference on The Semantic Web - Volume Part II
More anti-chain based refinement checking
ICFEM'12 Proceedings of the 14th international conference on Formal Engineering Methods: formal methods and software engineering
An analytical and experimental comparison of CSP extensions and tools
ICFEM'12 Proceedings of the 14th international conference on Formal Engineering Methods: formal methods and software engineering
Symbolic model-checking of stateful timed CSP using BDD and digitization
ICFEM'12 Proceedings of the 14th international conference on Formal Engineering Methods: formal methods and software engineering
Using logic to solve the submodule construction problem
Discrete Event Dynamic Systems
GALS-CMP: chip-multiprocessor for GALS embedded systems
ARCS'13 Proceedings of the 26th international conference on Architecture of Computing Systems
Interpreted systems semantics for process algebra with identity annotations
TbiLLC'11 Proceedings of the 9th international conference on Logic, Language, and Computation
Recovery within long-running transactions
ACM Computing Surveys (CSUR)
A process-algebraic semantics for generalised nonblocking
CATS 2011 Proceedings of the Seventeenth Computing on The Australasian Theory Symposium - Volume 119
Incorporating Formal Techniques into Industrial Practice: an Experience Report
Electronic Notes in Theoretical Computer Science (ENTCS)
Testing probabilistic equivalence through Reinforcement Learning
Information and Computation
A real-time semantics for norms with deadlines
Proceedings of the 2013 international conference on Autonomous agents and multi-agent systems
Proceedings of the Conference on Design, Automation and Test in Europe
Generation of Java programs properties from test purposes
Proceedings of the 2013 International Symposium on Software Testing and Analysis
Using motivation and choreography to model distributed workflow
Proceedings of the 5th ACM SIGCHI Annual International Workshop on Behaviour Modelling - Foundations and Applications
Integrating protocol modelling into reusable aspect models
Proceedings of the 5th ACM SIGCHI Annual International Workshop on Behaviour Modelling - Foundations and Applications
A method for modeling of KPIs enabling validation of their properties
Proceedings of the 5th ACM SIGCHI Annual International Workshop on Behaviour Modelling - Foundations and Applications
Model checking of scenario-aware dataflow with CADP
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
A verification environment for bigraphs
Innovations in Systems and Software Engineering
An overseer control methodology for data adaptable embedded systems
Proceedings of the 6th International Workshop on Multi-Paradigm Modeling
A cylinder computation model for many-core parallel computing
Theoretical Computer Science
Modelling of an automatic call distributor in a multi-site call centre
Proceedings of the 14th International Conference on Computer Systems and Technologies
Required behavior of sequence diagrams: Semantics and conformance
ACM Transactions on Software Engineering and Methodology (TOSEM)
BICS'13 Proceedings of the 6th international conference on Advances in Brain Inspired Cognitive Systems
replay: visualising the structure and behaviour of interconnected systems
ACSC '13 Proceedings of the Thirty-Sixth Australasian Computer Science Conference - Volume 135
A formal framework for software product lines
Information and Software Technology
Theoretical Computer Science
Defining and model checking abstractions of complex railway models using CSP||B
HVC'12 Proceedings of the 8th international conference on Hardware and Software: verification and testing
CONCUR'13 Proceedings of the 24th international conference on Concurrency Theory
A mechanized semantic framework for real-time systems
FORMATS'13 Proceedings of the 11th international conference on Formal Modeling and Analysis of Timed Systems
Examining the expert gap in parallel programming
Euro-Par'13 Proceedings of the 19th international conference on Parallel Processing
Simulink timed models for program verification
Theories of Programming and Formal Methods
Theories of Programming and Formal Methods
Theories of Programming and Formal Methods
Towards a modeling language for cyber-physical systems
Theories of Programming and Formal Methods
Verifying simulink diagrams via a hybrid hoare logic prover
Proceedings of the Eleventh ACM International Conference on Embedded Software
Model of distributed computing system operation with time
Programming and Computing Software
Systems analysis of life cycle of large-scale information-control systems
Automation and Remote Control
Comprehensive formal verification of an OS microkernel
ACM Transactions on Computer Systems (TOCS)
Automata-Theoretic Semantics of Idealized Algol with Passive Expressions
Electronic Notes in Theoretical Computer Science (ENTCS)
Supporting incremental behaviour model elaboration
Computer Science - Research and Development
Supporting incremental behaviour model elaboration
Computer Science - Research and Development
CSP-based counter abstraction for systems with node identifiers
Science of Computer Programming
Model checking with fairness assumptions using PAT
Frontiers of Computer Science: Selected Publications from Chinese Universities
Modelling temporal behaviour in complex systems with Timebands
Formal Methods in System Design
On the Behaviours Produced by Instruction Sequences under Execution
Fundamenta Informaticae
On Conditions for Modular Verification in Systems of Synchronising Components
Fundamenta Informaticae - Concurrency Specification and Programming CS&P
A New Translation from ECTL* to SAT
Fundamenta Informaticae - Concurrency Specification and Programming CS&P
Equations for Asynchronous Message Passing
Fundamenta Informaticae - Concurrency, Specification and Programming
Hi-index | 0.22 |