Dynamic functional unit assignment for low power

  • Authors:
  • Steve Haga;Natasha Reeves;Rajeev Barua;Diana Marculescu

  • Affiliations:
  • Department of Electrical & Computer Engineering, University of Maryland, College Park, MD;Lockheed Martin Space Systems Company, 1111 Lockheed Martin Way, Sunnyvale, CA;Department of Electrical & Computer Engineering, University of Maryland, College Park, MD;Department of Electrical & Computer Engineering, Carnegie Mellon University, 5000 Forbes Avenue, Pittsburg, PA

  • Venue:
  • The Journal of Supercomputing
  • Year:
  • 2005

Quantified Score

Hi-index 0.00

Visualization

Abstract

A hardware method for functional unit assignment is presented, based on the principle that a functional unit's power consumption is approximated by the switching activity of its inputs. Since computing the Hamming distance of the inputs in hardware is expensive, only a portion of the inputs are examined. Integers often have many identical top bits, due to sign extension, and floating points often have many zeros in the least significant digits, due to the casting of integer values into floating point, and other reasons. The accuracy of these approximations is studied and the results are used to develop a simple, but effective, hardware scheme.