BLRL: Accurate and Efficient Warmup for Sampled Processor Simulation

  • Authors:
  • Lieven Eeckhout;Yue Luo;Koen De Bosschere;Lizy K. John

  • Affiliations:
  • Department of Electronics and Information Systems, Ghent University, Belgium,;Department of Electrical and Computer Engineering, University of Texas at Austin, Austin, TX, USA;Department of Electronics and Information Systems, Ghent University, Belgium,;Department of Electrical and Computer Engineering, University of Texas at Austin, Austin, TX, USA

  • Venue:
  • The Computer Journal
  • Year:
  • 2005

Quantified Score

Hi-index 0.00

Visualization

Abstract

Current computer architecture research relies heavily on architectural simulation to obtain insight into the cycle-level behavior of modern microarchitectures. Unfortunately, such architectural simulations are extremely time-consuming. Sampling is an often-used technique to reduce the total simulation time. This is achieved by selecting a limited number of samples from a complete benchmark execution. One important issue with sampling, however, is the unknown hardware state at the beginning of each sample. Several approaches have been proposed to address this problem by warming up the hardware state before each sample. This paper presents the boundary line reuse latency (BLRL) which is an accurate and efficient warmup strategy. BLRL considers reuse latencies (between memory references to the same memory location) that cross the boundary line between the pre-sample and the sample to compute the warmup that is required for each sample. This guarantees a nearly perfect warmup state at the beginning of a sample. Our experimental results obtained using detailed processor simulation of SPEC CPU2000 benchmarks show that BLRL significantly outperforms the previously proposed memory reference reuse latency (MRRL) warmup strategy. BLRL achieves a warmup that is only half the warmup for MRRL on average for the same level of accuracy.