SMA: a self-monitored adaptive cache warm-up scheme for microprocessor simulation

  • Authors:
  • Yue Luo;Lizy K. John;Lieven Eeckhout

  • Affiliations:
  • Department of Electrical and Computer Engineering, University of Texas at Austin, Austin, Texas;Department of Electrical and Computer Engineering, University of Texas at Austin, Austin, Texas;Department of Electronics and Information Systems, Ghent University, Gent, Belgium

  • Venue:
  • International Journal of Parallel Programming
  • Year:
  • 2005

Quantified Score

Hi-index 0.00

Visualization

Abstract

This paper presents an adaptive technique for warming up caches in sampled microprocessor simulation. The simulator monitors the warm-up process of the caches and decides when the caches are warmed up based on simple heuristics. This mechanism allows the warm up length to be adaptive to cache sizes and benchmark variability characteristics. With only half or one-third of the average warm-up length of previous methods, the proposed Self Monitored Adaptive (SMA) warm-up technique achieves CPI results very similar to previous methods. On average SMA exhibits only 0.2% warmup error in CPI. For simulating small caches, the SMA technique can reduce the warm-up overhead by an order of magnitude compared to previous techniques. Finally, SMA gives the user some indicator of warm-up error at the end of the cycle-accurate simulation that helps the user to gauge the accuracy of the warm-up.