Yet shorter warmup by combining no-state-loss and MRRL for sampled LRU cache simulation

  • Authors:
  • Lieven Eeckhout;Koen De Bosschere

  • Affiliations:
  • ELIS Department, Ghent University, Sint-Pietersnieuwstraat 41, B-9000 Gent, Belgium;ELIS Department, Ghent University, Sint-Pietersnieuwstraat 41, B-9000 Gent, Belgium

  • Venue:
  • Journal of Systems and Software - Special issue: Quality software
  • Year:
  • 2006

Quantified Score

Hi-index 0.00

Visualization

Abstract

Sampling is a well known technique for speeding up time-consuming architectural simulations. An important issue with sampling is the hardware state at the beginning of each sampling unit. This paper presents a highly accurate and highly efficient warmup method for sampled cache simulation by combining 'no-state-loss (NSL)' and 'memory reference reuse latency (MRRL)'. Our combined warmup scheme MRRL-NSL achieves the same accuracy for sampled LRU cache simulation as MRRL with a two orders of magnitude shorter warmup. Compared to NSL, MRRL-NSL has a factor 2-6 shorter warmup while inducing a small absolute miss rate error of 0.1%.