Implementation of asynchronous pipeline circuits in multi-threshold CMOS technologies

  • Authors:
  • Raghid Shreih;Maitham Shams

  • Affiliations:
  • Carleton University, Ottawa, ON, Canada;Carleton University, Ottawa, ON, Canada

  • Venue:
  • Proceedings of the 18th ACM Great Lakes symposium on VLSI
  • Year:
  • 2008

Quantified Score

Hi-index 0.00

Visualization

Abstract

Power consumption of integrated circuits has been rapidly increasing over the past decades, and this is expected to continue for the foreseeable future, resulting in shorter battery life and excessive heat generation. Therefore, it is essential to develop techniques for low power design while maintaining high performance. This paper presents solutions to these problems by combining asynchronous design with multi-threshold CMOS technology to maintain high performance while reducing power consumption. Multi-Threshold C-Element circuits are proposed, and these are used to implement multi-threshold micropipeline circuits. A multi-threshold implementation of the GasP pipeline is also proposed. Two application circuits are designed to the custom layout level using a 130nm multithreshold CMOS process technology. The proposed multithreshold micropipelines were found to reduce dynamic current by up to 34%, static leakage current by up to 91% and delay by up to 38% compared to single threshold implementations. The mutli-threshold GasP pipelines achieved up to 24% reduction in dynamic current, 21% reduction in static leakage current and 37% reduction in delay.