Predictive design space exploration using genetically programmed response surfaces

  • Authors:
  • Henry Cook;Kevin Skadron

  • Affiliations:
  • University of California, Berkeley;University of Virginia

  • Venue:
  • Proceedings of the 45th annual Design Automation Conference
  • Year:
  • 2008

Quantified Score

Hi-index 0.00

Visualization

Abstract

Exponential increases in architectural design complexity threaten to make traditional processor design optimization techniques intractable. Genetically programmed response surfaces (GPRS) address this challenge by transforming the optimization process from a lengthy series of detailed simulations into the tractable formulation and rapid evaluation of a predictive model. We validate GPRS methodology on realistic processor design spaces and compare it to recently proposed techniques for predictive microarchitectural design space exploration.