The Impact of Dynamically Heterogeneous Multicore Processors on Thread Scheduling

  • Authors:
  • Fred A. Bower;Daniel J. Sorin;Landon P. Cox

  • Affiliations:
  • IBM and Duke University;Duke University;Duke University

  • Venue:
  • IEEE Micro
  • Year:
  • 2008

Quantified Score

Hi-index 0.00

Visualization

Abstract

Although most current multicore processors are homogeneous, microarchitects are now proposing heterogeneous core implementations, including systems in which heterogeneity is introduced at runtime. This article shows that operating system schedulers must consider dynamic heterogeneity or suffer significant power-efficiency and performance losses.