NoC frequency scaling with flexible-pipeline routers

  • Authors:
  • Pingqiang Zhou;Jieming Yin;Antonia Zhai;Sachin S. Sapatnekar

  • Affiliations:
  • University of Minnesota, Minneapolis, MN, USA;University of Minnesota, Minneapolis, MN, USA;University of Minnesota, Minneapolis, MN, USA;University of Minnesota, Minneapolis, MN, USA

  • Venue:
  • Proceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design
  • Year:
  • 2011

Quantified Score

Hi-index 0.00

Visualization

Abstract

Voltage and frequency scaling (VFS) for NoC can potentially reduce energy consumption, but the associated increase in latency and degradation in throughput limits its deployment. We propose flexiblepipeline routers that reconfigure pipeline stages upon VFS, so that latency through such routers remains constant. With minimal hardware overhead, the deployment of such routers allows us to reduce network frequency and save network energy, without significant performance degradation. Furthermore, we demonstrate the use of simple performance metrics to determine the optimal operation frequency, considering the energy/performance impact on all aspects of the system - the cores, the caches and the interconnection network.