In-network monitoring and control policy for DVFS of CMP networks-on-chip and last level caches

  • Authors:
  • Xi Chen;Zheng Xu;Hyungjun Kim;Paul Gratz;Jiang Hu;Michael Kishinevsky;Umit Ogras

  • Affiliations:
  • Texas A&M University, College Station, TX;Texas A&M University, College Station, TX;Texas A&M University, College Station, TX;Texas A&M University, College Station, TX;Texas A&M University, College Station, TX;Strategic CAD Labs, Intel Corporation, Hillsboro, OR;Strategic CAD Labs, Intel Corporation, Hillsboro, OR

  • Venue:
  • ACM Transactions on Design Automation of Electronic Systems (TODAES) - Special Section on Networks on Chip: Architecture, Tools, and Methodologies
  • Year:
  • 2013

Quantified Score

Hi-index 0.00

Visualization

Abstract

In chip design today and for a foreseeable future, the last-level cache and on-chip interconnect is not only performance critical but also a substantial power consumer. This work focuses on employing dynamic voltage and frequency scaling (DVFS) policies for networks-on-chip (NoC) and shared, distributed last-level caches (LLC). In particular, we consider a practical system architecture where the distributed LLC and the NoC share a voltage/frequency domain that is separate from the core domain. This architecture enables the control of the relative speed between the cores and memory hierarchy without introducing synchronization delays within the NoC. DVFS for this architecture is more complex than individual link/core-based DVFS since it involves spatially distributed monitoring and control. We propose an average memory access time (AMAT)-based monitoring technique and integrate it with DVFS based on PID control theory. Simulations on PARSEC benchmarks yield a 27% energy savings with a negligible impact on system performance.