Low-energy GALS NoC with FIFO-Monitoring dynamic voltage scaling

  • Authors:
  • Abbas Rahimi;Mostafa E. Salehi;Siamak Mohammadi;Sied Mehdi Fakhraie

  • Affiliations:
  • CSE Department, University of California, San Diego, La Jolla, CA 92093-0404, USA;Islamic Azad University, Qazvin Branch, Qazvin 34185-1416, IR Iran;Dependable Systems Design Laboratory, School of ECE, University of Tehran, Tehran 14395-515, Iran;Dependable Systems Design Laboratory, School of ECE, University of Tehran, Tehran 14395-515, Iran

  • Venue:
  • Microelectronics Journal
  • Year:
  • 2011

Quantified Score

Hi-index 0.00

Visualization

Abstract

In this paper we propose two dynamic voltage scaling (DVS) policies for a GALS NoC, which is designed based on fully asynchronous switch architectures. The first one is a history-based DVS policy, which exploits the link utilization and adjusts the voltages of different parts of the router among a few voltage levels. The second one is a FIFO-adaptive DVS, which uses two FIFO threshold levels for decision making. It judiciously adjusts supply voltage of each switch among only three voltage levels. The introduced architecture is simulated in 90nm CMOS technology with accurate Spice simulations. Experimental results show that the FIFO-adaptive DVS not only lowers the implementation cost, but also in a 86% saturated network achieves 36% energy-delay product (ED) saving compared to the DVS policy based on link utilization.