Dynamic voltage and frequency scaling for shared resources in multicore processor designs

  • Authors:
  • Xi Chen;Zheng Xu;Hyungjun Kim;Paul V. Gratz;Jiang Hu;Michael Kishinevsky;Umit Ogras;Raid Ayoub

  • Affiliations:
  • Texas A&M University;Texas A&M University;Texas A&M University;Texas A&M University;Texas A&M University;Intel Corporation;Intel Corporation;Intel Corporation

  • Venue:
  • Proceedings of the 50th Annual Design Automation Conference
  • Year:
  • 2013

Quantified Score

Hi-index 0.00

Visualization

Abstract

As the core count in processor chips grows, so do the on-die, shared resources such as on-chip communication fabric and shared cache, which are of paramount importance for chip performance and power. This paper presents a method for dynamic voltage/frequency scaling of networks-on-chip and last level caches in multicore processor designs, where the shared resources form a single voltage/frequency domain. Several new techniques for monitoring and control are developed, and validated through full system simulations on the PARSEC benchmarks. These techniques reduce energy-delay product by 56% compared to a state-of-the-art prior work.