VSV: L2-Miss-Driven Variable Supply-Voltage Scaling for Low Power

  • Authors:
  • Hai Li;Chen-Yong Cher;T. N. Vijaykumar;Kaushik Roy

  • Affiliations:
  • 1285 EE Building,ECE Department,Purdue University;1285 EE Building,ECE Department,Purdue University;1285 EE Building,ECE Department,Purdue University;1285 EE Building,ECE Department,Purdue University

  • Venue:
  • Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture
  • Year:
  • 2003

Quantified Score

Hi-index 0.00

Visualization

Abstract

Energy-efficient processor design is becoming moreand more important with technology scaling and with highperformance requirements. Supply-voltage scaling is anefficient way to reduce energy by lowering the operatingvoltage and the clock frequency of processorsimultaneously. We propose a variable supply-voltagescaling (VSV) technique based on the following keyobservation: upon an L2 miss, the pipeline performs someindependent computations but almost always ends upstalling and waiting for data, despite out-of-order issueand other latency-hiding techniques. Therefore, during anL2 miss we scale down the supply voltage of certainsections of the processor in order to reduce powerdissipation while it carries on the independentcomputations at a lower speed. However, operating at alower speed may degrade performance, if there aresufficient independent computations to overlap with the L2miss. Similarly, returning to high speed may degradepower savings, if there are multiple outstanding missesand insufficient independent computations to overlap withthem. To avoid these problems, we introduce two statemachines that track parallelism on-the-fly, and we scalethe supply voltage depending on the level of parallelism.We also consider circuit-level complexity concerns whichlimit VSV to two supply voltages, stability and signal-propagationspeed issues which limit how fast VSV maytransition between the voltages, and energy overheadfactors which disallow supply-voltage scaling of largeRAM structures such as caches and register file. Oursimulations show that VSV achieves an average of 20.7%total processor power reduction with 2.0% performancedegradation in an 8-way, out-of-order-issue processor thatimplements deterministic clock gating and softwareprefetching, for those SPEC2K benchmarks that have highL2 miss rates. Averaging across all the benchmarks, VSVreduces total processor power by 7.0% with 0.9%performance degradation.