Low-power operation using self-timed circuits and adaptive scaling of the supply voltage
IEEE Transactions on Very Large Scale Integration (VLSI) Systems - Special issue on low-power design
ISLPED '95 Proceedings of the 1995 international symposium on Low power design
A low power switching power supply for self-clocked systems
ISLPED '96 Proceedings of the 1996 international symposium on Low power electronics and design
Scheduling techniques for variable voltage low power designs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Datapath scheduling with multiple supply voltages and level converters
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Embedded power supply for low-power DSP
IEEE Transactions on Very Large Scale Integration (VLSI) Systems - Special issue on low power electronics and design
Energy minimization using multiple supply voltages
IEEE Transactions on Very Large Scale Integration (VLSI) Systems - Special issue on low power electronics and design
Low Power Digital CMOS Design
Power conscious fixed priority scheduling for hard real-time systems
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
ISLPED '99 Proceedings of the 1999 international symposium on Low power electronics and design
System-level power optimization: techniques and tools
ISLPED '99 Proceedings of the 1999 international symposium on Low power electronics and design
System-level power optimization: techniques and tools
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Run-time voltage hopping for low-power real-time systems
Proceedings of the 37th Annual Design Automation Conference
Voltage scheduling in the IpARM microprocessor system
ISLPED '00 Proceedings of the 2000 international symposium on Low power electronics and design
A rate selection algorithm for quantized undithered dynamic supply voltage scaling (poster session)
ISLPED '00 Proceedings of the 2000 international symposium on Low power electronics and design
An adaptive algorithm for low-power streaming multimedia processing
Proceedings of the conference on Design, automation and test in Europe
Run-time power control scheme using software feedback loop for low-power real-time application
ASP-DAC '00 Proceedings of the 2000 Asia and South Pacific Design Automation Conference
Power optimization and management in embedded systems
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
Low power implementation of a turbo-decoder on programmable architectures
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
LEneS: task scheduling for low-energy systems using variable supply voltage processors
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
Processor frequency setting for energy minimization of streaming multimedia application
Proceedings of the ninth international symposium on Hardware/software codesign
Low-energy intra-task voltage scheduling using static timing analysis
Proceedings of the 38th annual Design Automation Conference
Dynamic voltage scaling and power management for portable systems
Proceedings of the 38th annual Design Automation Conference
Energy efficient fixed-priority scheduling for real-time systems on variable voltage processors
Proceedings of the 38th annual Design Automation Conference
Dynamic voltage scaling on a low-power microprocessor
Proceedings of the 7th annual international conference on Mobile computing and networking
Energy priority scheduling for variable voltage processors
ISLPED '01 Proceedings of the 2001 international symposium on Low power electronics and design
Hard real-time scheduling for low-energy using stochastic data and DVS processors
ISLPED '01 Proceedings of the 2001 international symposium on Low power electronics and design
A profile-based energy-efficient intra-task voltage scheduling algorithm for real-time applications
ISLPED '01 Proceedings of the 2001 international symposium on Low power electronics and design
Compiler-directed dynamic voltage/frequency scheduling for energy reduction in microprocessors
ISLPED '01 Proceedings of the 2001 international symposium on Low power electronics and design
Variable voltage task scheduling algorithms for minimizing energy
ISLPED '01 Proceedings of the 2001 international symposium on Low power electronics and design
An energy efficient rate selection algorithm for voltage quantized dynamic voltage scaling
Proceedings of the 14th international symposium on Systems synthesis
Proceedings of the 14th international symposium on Systems synthesis
EDF scheduling using two-mode voltage-clock-scaling for hard real-time systems
CASES '01 Proceedings of the 2001 international conference on Compilers, architecture, and synthesis for embedded systems
Energy-conscious compilation based on voltage scaling
Proceedings of the joint conference on Languages, compilers and tools for embedded systems: software and compilers for embedded systems
Task scheduling and voltage selection for energy minimization
Proceedings of the 39th annual Design Automation Conference
Energy characterization of embedded real-time operating systems
ACM SIGARCH Computer Architecture News - Special Issue: PACT 2001 workshops
E2WFQ: an energy efficient fair scheduling policy for wireless systems
Proceedings of the 2002 international symposium on Low power electronics and design
Contents provider-assisted dynamic voltage scaling for low energy multimedia applications
Proceedings of the 2002 international symposium on Low power electronics and design
Low power system scheduling and synthesis
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Optimisation problems for dynamic concurrent task-based systems
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
What is the limit of energy saving by dynamic voltage scaling?
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
ECOSystem: managing energy as a first class operating system resource
Proceedings of the 10th international conference on Architectural support for programming languages and operating systems
Software Energy Reduction Techniques for Variable-Voltage Processors
IEEE Design & Test
SODA '03 Proceedings of the fourteenth annual ACM-SIAM symposium on Discrete algorithms
System-Level Design Methods for Low-Energy Architectures Containing Variable Voltage Processors
PACS '00 Proceedings of the First International Workshop on Power-Aware Computer Systems-Revised Papers
Compiler-Directed Dynamic Frequency and Voltage Scheduling
PACS '00 Proceedings of the First International Workshop on Power-Aware Computer Systems-Revised Papers
Optimization and control of VDD and VTH for low-power, high-speed CMOS design
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
Power efficiency of voltage scaling in multiple clock, multiple voltage cores
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
A realistic variable voltage scheduling model for real-time applications
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
Optimal voltage allocation techniques for dynamically variable voltage processors
Proceedings of the 40th annual Design Automation Conference
Energy reduction techniques for multimedia applications with tolerance to deadline misses
Proceedings of the 40th annual Design Automation Conference
The design, implementation, and evaluation of a compiler algorithm for CPU energy reduction
PLDI '03 Proceedings of the ACM SIGPLAN 2003 conference on Programming language design and implementation
Compile-time dynamic voltage scaling settings: opportunities and limits
PLDI '03 Proceedings of the ACM SIGPLAN 2003 conference on Programming language design and implementation
Dynamic management of power consumption
Power aware computing
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
Real-Time Task Scheduling for a Variable Voltage Processor
Proceedings of the 12th international symposium on System synthesis
Energy management for battery-powered embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
Multivoltage scheduling with voltage-partitioned variable storage
Proceedings of the 2003 international symposium on Low power electronics and design
Uncertainty-based scheduling: energy-efficient ordering for tasks with variable execution time
Proceedings of the 2003 international symposium on Low power electronics and design
IEEE Transactions on Parallel and Distributed Systems
Variable voltage task scheduling algorithms for minimizing energy/power
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Energy-efficient soft real-time CPU scheduling for mobile multimedia systems
SOSP '03 Proceedings of the nineteenth ACM symposium on Operating systems principles
VSV: L2-Miss-Driven Variable Supply-Voltage Scaling for Low Power
Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture
A Dynamic Voltage Scaling Algorithm for Sporadic Tasks
RTSS '03 Proceedings of the 24th IEEE International Real-Time Systems Symposium
Application-directed voltage scaling
IEEE Transactions on Very Large Scale Integration (VLSI) Systems - Special section on low power
Voltage-Clock-Scaling Adaptive Scheduling Techniques for Low Power in Hard Real-Time Systems
IEEE Transactions on Computers
Energy characterization of embedded real-time operating systems
Compilers and operating systems for low power
Profit-driven uniprocessor scheduling with energy and timing constraints
Proceedings of the 2004 ACM symposium on Applied computing
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Dynamic Power Management Using Data Buffers
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Energy efficient wireless packet scheduling and fair queuing
ACM Transactions on Embedded Computing Systems (TECS)
Iterative schedule optimization for voltage scalable distributed embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
Managing power consumption in networks on chips
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Adaptive scheduling server for power-aware real-time tasks
ACM Transactions on Embedded Computing Systems (TECS)
Dynamic adaptation for fault tolerance and power management in embedded real-time systems
ACM Transactions on Embedded Computing Systems (TECS)
Profile-based optimal intra-task voltage scheduling for hard real-time applications
Proceedings of the 41st annual Design Automation Conference
Off-chip latency-driven dynamic voltage and frequency scaling for an MPEG decoding
Proceedings of the 41st annual Design Automation Conference
Approaching the Maximum Energy Saving on Embedded Systems with Multiple Voltages
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Energy-Aware Fault Tolerance in Fixed-Priority Real-Time Embedded Systems
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Dynamic power management for streaming data
Proceedings of the 2004 international symposium on Low power electronics and design
Dynamic voltage and frequency scaling based on workload decomposition
Proceedings of the 2004 international symposium on Low power electronics and design
An efficient voltage scaling algorithm for complex SoCs with few number of voltage modes
Proceedings of the 2004 international symposium on Low power electronics and design
Memory-aware energy-optimal frequency assignment for dynamic supply voltage scaling
Proceedings of the 2004 international symposium on Low power electronics and design
Using resource reservation techniques for power-aware scheduling
Proceedings of the 4th ACM international conference on Embedded software
Practical PACE for embedded systems
Proceedings of the 4th ACM international conference on Embedded software
Scheduling and Mapping of Conditional Task Graphs for the Synthesis of Low Power Embedded Systems
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Energy-Aware Adaptive Checkpointing in Embedded Real-Time Systems
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Intraprogram dynamic voltage scaling: Bounding opportunities with analytic modeling
ACM Transactions on Architecture and Code Optimization (TACO)
Reducing both dynamic and leakage energy consumption for hard real-time systems
Proceedings of the 2004 international conference on Compilers, architecture, and synthesis for embedded systems
Performance directed energy management for main memory and disks
ASPLOS XI Proceedings of the 11th international conference on Architectural support for programming languages and operating systems
Power-Aware Scheduling for AND/OR Graphs in Real-Time Systems
IEEE Transactions on Parallel and Distributed Systems
The Energy Impact of Aggressive Loop Fusion
Proceedings of the 13th International Conference on Parallel Architectures and Compilation Techniques
Practical voltage scaling for mobile multimedia devices
Proceedings of the 12th annual ACM international conference on Multimedia
Dynamic voltage scheduling with buffers in low-power multimedia applications
ACM Transactions on Embedded Computing Systems (TECS)
An Approximation Algorithm for Energy-Efficient Scheduling on A Chip Multiprocessor
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Quasi-Static Voltage Scaling for Energy Minimization with Time Constraints
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Exploiting Dynamic Workload Variation in Low Energy Preemptive Task Scheduling
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Pruning-based, energy-optimal, deterministic I/O device scheduling for hard real-time systems
ACM Transactions on Embedded Computing Systems (TECS)
Optimal voltage allocation techniques for dynamically variable voltage processors
ACM Transactions on Embedded Computing Systems (TECS)
Energy macromodeling of embedded operating systems
ACM Transactions on Embedded Computing Systems (TECS)
Dynamic Task-Level Voltage Scheduling Optimizations
IEEE Transactions on Computers
Energy optimal speed control of devices with discrete speed sets
Proceedings of the 42nd annual Design Automation Conference
ISLPED '05 Proceedings of the 2005 international symposium on Low power electronics and design
Task sheduling for power optimisation of multi frequency synchronous data flow graphs
SBCCI '05 Proceedings of the 18th annual symposium on Integrated circuits and system design
Performance directed energy management for main memory and disks
ACM Transactions on Storage (TOS)
Efficient behavior-driven runtime dynamic voltage scaling policies
CODES+ISSS '05 Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Minimizing expected energy in real-time embedded systems
Proceedings of the 5th ACM international conference on Embedded software
Intra-task scenario-aware voltage scheduling
Proceedings of the 2005 international conference on Compilers, architectures and synthesis for embedded systems
Energy aware kernel for hard real-time systems
Proceedings of the 2005 international conference on Compilers, architectures and synthesis for embedded systems
Combined circuit and architectural level variable supply-voltage scaling for low power
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Toward a multiple clock/voltage island design style for power-aware processors
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Power reduction techniques for microprocessor systems
ACM Computing Surveys (CSUR)
The effects of energy management on reliability in real-time embedded systems
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Shortest-path algorithms for real-time scheduling of FIFO tasks with minimal energy use
ACM Transactions on Embedded Computing Systems (TECS)
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
A dynamic-mode DVS algorithm under dynamic workloads
ACM SIGBED Review - Special issue: IEEE RTAS 2005 work-in-progress
Dynamic voltage scaling for multitasking real-time systems with uncertain execution time
GLSVLSI '06 Proceedings of the 16th ACM Great Lakes symposium on VLSI
Collaborative operating system and compiler power management for real-time applications
ACM Transactions on Embedded Computing Systems (TECS)
Procrastination for leakage-aware rate-monotonic scheduling on a dynamic voltage scaling processor
Proceedings of the 2006 ACM SIGPLAN/SIGBED conference on Language, compilers, and tool support for embedded systems
Program Counter-Based Prediction Techniques for Dynamic Power Management
IEEE Transactions on Computers
GRACE-1: Cross-Layer Adaptation for Multimedia Quality and Battery Energy
IEEE Transactions on Mobile Computing
Dynamic voltage scaling techniques for power efficient video decoding
Journal of Systems Architecture: the EUROMICRO Journal
Voltage setup problem for embedded systems with multiple voltages
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
ACM Transactions on Embedded Computing Systems (TECS)
Energy-efficient CPU scheduling for multimedia applications
ACM Transactions on Computer Systems (TOCS)
Statistically Optimal Dynamic Power Management for Streaming Data
IEEE Transactions on Computers
Buffering approach for energy saving in video sensors
ICME '03 Proceedings of the 2003 International Conference on Multimedia and Expo - Volume 2
A Novel Penalty Controllable Dynamic Voltage Scaling Scheme for Mobile Multimedia Applications
IEEE Transactions on Mobile Computing
IEEE Transactions on Computers
Journal of VLSI Signal Processing Systems
Online task-scheduling for fault-tolerant low-energy real-time systems
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Bounded energy allocation and scheduling for real-time Embedded Systems
Journal of Embedded Computing - Real-Time and Embedded Computing Systems
Frequency-aware energy optimization for real-time periodic and aperiodic tasks
Proceedings of the 2007 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
IEEE Transactions on Computers
Proceedings of the conference on Design, automation and test in Europe
Energy-efficient real-time task scheduling with task rejection
Proceedings of the conference on Design, automation and test in Europe
Energy efficient DVS schedule for fixed-priority real-time systems
ACM Transactions on Embedded Computing Systems (TECS) - Special Section LCTES'05
Energy optimal speed control of a producer--consumer device pair
ACM Transactions on Embedded Computing Systems (TECS) - Special Section LCTES'05
Probabilistic design of multimedia embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
Energy-aware scheduling for real-time multiprocessor systems with uncertain task execution time
Proceedings of the 44th annual Design Automation Conference
Dynamic voltage frequency scaling for multi-tasking systems using online learning
ISLPED '07 Proceedings of the 2007 international symposium on Low power electronics and design
ISLPED '07 Proceedings of the 2007 international symposium on Low power electronics and design
Proceedings of the 20th annual conference on Integrated circuits and systems design
Performance optimal processor throttling under thermal constraints
CASES '07 Proceedings of the 2007 international conference on Compilers, architecture, and synthesis for embedded systems
A unified practical approach to stochastic DVS scheduling
EMSOFT '07 Proceedings of the 7th ACM & IEEE international conference on Embedded software
ACM Transactions on Algorithms (TALG)
A fuel-cell-battery hybrid for portable embedded systems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Minimizing expected energy consumption in real-time systems through dynamic voltage scaling
ACM Transactions on Computer Systems (TOCS)
Energy optimization of multiprocessor systems on chip by voltage selection
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
A design framework for real-time embedded systems with code size and energy constraints
ACM Transactions on Embedded Computing Systems (TECS)
An energy-aware framework for dynamic software management in mobile computing systems
ACM Transactions on Embedded Computing Systems (TECS)
Energy minimization with loop fusion and multi-functional-unit scheduling for multidimensional DSP
Journal of Parallel and Distributed Computing
Bounding energy consumption in large-scale MPI programs
Proceedings of the 2007 ACM/IEEE conference on Supercomputing
Expected energy consumption minimization in DVS systems with discrete frequencies
Proceedings of the 2008 ACM symposium on Applied computing
A Dynamic Voltage Scaling Algorithm for Dynamic Workloads
Journal of Signal Processing Systems
Stochastic DVS-based dynamic power management for soft real-time systems
Microprocessors & Microsystems
Proceedings of the 13th international symposium on Low power electronics and design
Expected system energy consumption minimization in leakage-aware DVS systems
Proceedings of the 13th international symposium on Low power electronics and design
Hard real-time tasks' scheduling considering voltage scaling, precedence and exclusion relations
Information Processing Letters
Microprocessors & Microsystems
Proceedings of the 2009 Asia and South Pacific Design Automation Conference
Brief paper: Optimal solutions to a class of power management problems in mobile robots
Automatica (Journal of IFAC)
Adagio: making DVS practical for complex HPC applications
Proceedings of the 23rd international conference on Supercomputing
Minimizing CPU energy in real-time systems with discrete speed management
ACM Transactions on Embedded Computing Systems (TECS)
Dynamic MIPS rate stabilization in out-of-order processors
Proceedings of the 36th annual international symposium on Computer architecture
Near optimal battery-aware energy management
Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design
Journal of Signal Processing Systems
Energy-Aware Scheduling of Flow Applications on Master-Worker Platforms
Euro-Par '09 Proceedings of the 15th International Euro-Par Conference on Parallel Processing
Efficient dynamic voltage/frequency scaling through algorithmic loop transformation
CODES+ISSS '09 Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis
Energy-efficiency for multiframe real-time tasks on a dynamic voltage scaling processor
CODES+ISSS '09 Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis
Proceedings of the 46th Annual Design Automation Conference
Informationally decentralized system resource management for multiple multimedia tasks
IEEE Transactions on Circuits and Systems for Video Technology
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
System-level power management using online learning
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
A dynamic frequency scaling solution to DPM in embedded linux systems
IRI'09 Proceedings of the 10th IEEE international conference on Information Reuse & Integration
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
A hybrid DVS scheduling approach for hard real-time systems
SMC'09 Proceedings of the 2009 IEEE international conference on Systems, Man and Cybernetics
Energy reduction techniques for systems with non-DVS components
ETFA'09 Proceedings of the 14th IEEE international conference on Emerging technologies & factory automation
Energy-Efficient Considerations on a Variable-Bitrate PCI-Express Device
Journal of Signal Processing Systems
Power optimization for dynamic configuration in heterogeneous web server clusters
Journal of Systems and Software
PACS'02 Proceedings of the 2nd international conference on Power-aware computer systems
Application-supported device management for energy and performance
PACS'02 Proceedings of the 2nd international conference on Power-aware computer systems
Energy-aware dual-mode voltage scaling for weakly hard real-time systems
Proceedings of the 2010 ACM Symposium on Applied Computing
Load forecasting applied to soft real-time web clusters
Proceedings of the 2010 ACM Symposium on Applied Computing
Energy-efficient scheduling on homogeneous multiprocessor platforms
Proceedings of the 2010 ACM Symposium on Applied Computing
Energy-efficiency on a variable-bitrate device
EUC'07 Proceedings of the 2007 conference on Emerging direction in embedded and ubiquitous computing
HiPC'07 Proceedings of the 14th international conference on High performance computing
Stochastic approximation control of power and tardiness in a three-tier web-hosting cluster
Proceedings of the 7th international conference on Autonomic computing
Power and performance control of soft real-time web server clusters
Information Processing Letters
Transition-aware DVS algorithm for real-time systems using tree structure analysis
Journal of Systems Architecture: the EUROMICRO Journal
Online energy-saving algorithm for sensor networks in dynamic changing environments
Journal of Embedded Computing
Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
Automating energy optimization with features
FOSD '10 Proceedings of the 2nd International Workshop on Feature-Oriented Software Development
Scheduling for energy efficiency and fault tolerance in hard real-time systems
Proceedings of the Conference on Design, Automation and Test in Europe
Proceedings of the Conference on Design, Automation and Test in Europe
Proceedings of the Conference on Design, Automation and Test in Europe
Energy minimization for real-time systems with non-convex and discrete operation modes
Proceedings of the Conference on Design, Automation and Test in Europe
Reliability-aware dynamic energy management in dependable embedded real-time systems
ACM Transactions on Embedded Computing Systems (TECS)
Optimality and improvement of dynamic voltage scaling algorithms for multimedia applications
IEEE Transactions on Circuits and Systems Part I: Regular Papers
Min-energy scheduling for aligned jobs in accelerate model
Theoretical Computer Science
Quasi-static voltage scaling for energy minimization with time constraints
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Leakage conscious DVS scheduling for peak temperature minimization
Proceedings of the 16th Asia and South Pacific Design Automation Conference
Fine-grained DVFS using on-chip regulators
ACM Transactions on Architecture and Code Optimization (TACO)
Energy-aware wireless systems with adaptive power-fidelity tradeoffs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Scheduling of stream-based real-time applications for heterogeneous systems
Proceedings of the 2011 SIGPLAN/SIGBED conference on Languages, compilers and tools for embedded systems
Brief announcement: reclaiming the energy of a schedule, models and algorithms
Proceedings of the twenty-third annual ACM symposium on Parallelism in algorithms and architectures
Comprehensive analysis and control of design parameters for power gated circuits
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Platform synthesis and partitioning of real-time tasks for energy efficiency
Journal of Systems Architecture: the EUROMICRO Journal
Proceedings of the international conference on Supercomputing
Some observations on optimal frequency selection in DVFS-based energy consumption minimization
Journal of Parallel and Distributed Computing
Theoretical Computer Science
ScatterD: Spatial deployment optimization with hybrid heuristic/evolutionary algorithms
ACM Transactions on Autonomous and Adaptive Systems (TAAS)
International Journal of High Performance Computing Applications
Customer-aware task allocation and scheduling for multi-mode MPSoCs
Proceedings of the 48th Design Automation Conference
Exploiting intra-task slack time of load operations for DVFS in hard real-time multi-core systems
ACM SIGBED Review - Work-in-Progress (WiP) Session of the 23rd Euromicro Conference on Real-Time Systems (ECRTS 2011)
Power efficient rate monotonic scheduling for multi-core systems
Journal of Parallel and Distributed Computing
Low power scheduling of DAGs to minimize finish times
HiPC'06 Proceedings of the 13th international conference on High Performance Computing
An ILP formulation for task scheduling on heterogeneous chip multiprocessors
ISCIS'06 Proceedings of the 21st international conference on Computer and Information Sciences
EUC'06 Proceedings of the 2006 international conference on Embedded and Ubiquitous Computing
The optimal profile-guided greedy dynamic voltage scaling in real-time applications
ICESS'05 Proceedings of the Second international conference on Embedded Software and Systems
Near optimal and energy-efficient scheduling for hard real-time embedded systems
EUC'05 Proceedings of the 2005 international conference on Embedded and Ubiquitous Computing
Power-Aware scheduling for parallel security processors with analytical models
LCPC'04 Proceedings of the 17th international conference on Languages and Compilers for High Performance Computing
An efficient algorithm for computing optimal discrete voltage schedules
MFCS'05 Proceedings of the 30th international conference on Mathematical Foundations of Computer Science
Power-saving scheduling for weakly dynamic voltage scaling devices
WADS'05 Proceedings of the 9th international conference on Algorithms and Data Structures
Energy efficient configuration for qos in reliable parallel servers
EDCC'05 Proceedings of the 5th European conference on Dependable Computing
PATMOS'05 Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
Dynamic processor throttling for power efficient computations
PACS'04 Proceedings of the 4th international conference on Power-Aware Computer Systems
Effective dynamic voltage scaling through CPU-Boundedness detection
PACS'04 Proceedings of the 4th international conference on Power-Aware Computer Systems
Journal of Signal Processing Systems
Probabilistic modeling for job symbiosis scheduling on SMT processors
ACM Transactions on Architecture and Code Optimization (TACO)
Energy- and reliability-aware task scheduling onto heterogeneous MPSoC architectures
The Journal of Supercomputing
On two-pronged power-aware voltage scheduling for multi-processor real-time systems
PATMOS'07 Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation
Optimization for real-time systems with non-convex power versus speed models
PATMOS'07 Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation
Fast calculation of permissible slowdown factors for hard real-time systems
PATMOS'07 Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation
A global optimal scheduling algorithm for multiprocessor low-power platforms
Proceedings of the 20th International Conference on Real-Time and Network Systems
On the fundamentals of leakage aware real-time DVS scheduling for peak temperature minimization
Journal of Systems Architecture: the EUROMICRO Journal
Optimal DPM and DVFS for frame-based real-time systems
ACM Transactions on Architecture and Code Optimization (TACO) - Special Issue on High-Performance Embedded Architectures and Compilers
HPCC'07 Proceedings of the Third international conference on High Performance Computing and Communications
Exploring hardware overprovisioning in power-constrained, high performance computing
Proceedings of the 27th international ACM conference on International conference on supercomputing
Variation-aware voltage level selection
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Temperature-aware idle time distribution for leakage energy optimization
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Energy and transition-aware runtime task scheduling for multicore processors
Journal of Parallel and Distributed Computing
Energy-Efficient scheduling with time and processors eligibility restrictions
Euro-Par'13 Proceedings of the 19th international conference on Parallel Processing
Splittable single source-sink routing on CMP grids: a sublinear number of paths suffice
Euro-Par'13 Proceedings of the 19th international conference on Parallel Processing
Adaptive scheduling of real-time systems cosupplied by renewable and nonrenewable energy sources
ACM Transactions on Embedded Computing Systems (TECS) - Special Section on ESTIMedia'10
A resource-driven DVFS scheme for smart handheld devices
ACM Transactions on Embedded Computing Systems (TECS)
An asymmetric dual-processor architecture for low-power information appliances
ACM Transactions on Embedded Computing Systems (TECS)
Power-aware optimization for heterogeneous multi-tier clusters
Journal of Parallel and Distributed Computing
UCC '13 Proceedings of the 2013 IEEE/ACM 6th International Conference on Utility and Cloud Computing
Hi-index | 0.02 |
This paper presents a model of dynamically variable voltage processor and basic theorems for power-delay optimization. A static voltage scheduling problem is also proposed and formulated as an integer linear programming (ILP) problem. In the problem, we assume that a core processor can vary its supply voltage dynamically, but can use only a single voltage level at a time. For a given application program and a dynamically variable voltage processor, a voltage scheduling which minimizes energy consumption under an execution time constraint can be found.