Digital integrated circuits: a design perspective
Digital integrated circuits: a design perspective
Proceedings of the 6th international workshop on Hardware/software codesign
Voltage scheduling problem for dynamically variable voltage processors
ISLPED '98 Proceedings of the 1998 international symposium on Low power electronics and design
LEneS: task scheduling for low-energy systems using variable supply voltage processors
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
Low-energy intra-task voltage scheduling using static timing analysis
Proceedings of the 38th annual Design Automation Conference
Approximation algorithms
Task scheduling and voltage selection for energy minimization
Proceedings of the 39th annual Design Automation Conference
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
Optimal voltage allocation techniques for dynamically variable voltage processors
Proceedings of the 40th annual Design Automation Conference
Energy reduction techniques for multimedia applications with tolerance to deadline misses
Proceedings of the 40th annual Design Automation Conference
A scheduling model for reduced CPU energy
FOCS '95 Proceedings of the 36th Annual Symposium on Foundations of Computer Science
Practical Voltage-Scaling for Fixed-Priority RT-Systems
RTAS '03 Proceedings of the The 9th IEEE Real-Time and Embedded Technology and Applications Symposium
Energy-Aware Partitioning for Multiprocessor Real-Time Systems
IPDPS '03 Proceedings of the 17th International Symposium on Parallel and Distributed Processing
Power-Aware Resource Allocation for Independent Tasks in Heterogeneous Real-Time Systems
ICPADS '02 Proceedings of the 9th International Conference on Parallel and Distributed Systems
ECRTS '01 Proceedings of the 13th Euromicro Conference on Real-Time Systems
Cg: a system for programming graphics hardware in a C-like language
ACM SIGGRAPH 2003 Papers
Dynamic and Aggressive Scheduling Techniques for Power-Aware Real-Time Systems
RTSS '01 Proceedings of the 22nd IEEE Real-Time Systems Symposium
Adaptive scheduling server for power-aware real-time tasks
ACM Transactions on Embedded Computing Systems (TECS)
Multiprocessor Energy-Efficient Scheduling with Task Migration Considerations
ECRTS '04 Proceedings of the 16th Euromicro Conference on Real-Time Systems
Dynamic voltage scaling for systemwide energy minimization in real-time embedded systems
Proceedings of the 2004 international symposium on Low power electronics and design
Brook for GPUs: stream computing on graphics hardware
ACM SIGGRAPH 2004 Papers
Power Efficient Processor Architecture and The Cell Processor
HPCA '05 Proceedings of the 11th International Symposium on High-Performance Computer Architecture
Energy-Aware Task Allocation for Rate Monotonic Scheduling
RTAS '05 Proceedings of the 11th IEEE Real Time on Embedded Technology and Applications Symposium
Speed Modulation in Energy-Aware Real-Time Systems
ECRTS '05 Proceedings of the 17th Euromicro Conference on Real-Time Systems
Proceedings of the 5th ACM international conference on Embedded software
Voltage Scaling Scheduling for Periodic Real-Time Tasks in Reward Maximization
RTSS '05 Proceedings of the 26th IEEE International Real-Time Systems Symposium
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
IEEE Micro
Voltage setup problem for embedded systems with multiple voltages
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
ACM Transactions on Embedded Computing Systems (TECS)
Exploiting coarse-grained task, data, and pipeline parallelism in stream programs
Proceedings of the 12th international conference on Architectural support for programming languages and operating systems
System-Wide Dynamic Power Management for Portable Multimedia Devices
ISM '06 Proceedings of the Eighth IEEE International Symposium on Multimedia
Energy-Efficient Real-Time Task Scheduling for a DVS System with a Non-DVS Processing Element
RTSS '06 Proceedings of the 27th IEEE International Real-Time Systems Symposium
System-Level Energy Management for Periodic Real-Time Tasks
RTSS '06 Proceedings of the 27th IEEE International Real-Time Systems Symposium
ALP: Efficient support for all levels of parallelism for complex media applications
ACM Transactions on Architecture and Code Optimization (TACO)
Allocation cost minimization for periodic hard real-time tasks in energy-constrained DVS systems
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Frequency-aware energy optimization for real-time periodic and aperiodic tasks
Proceedings of the 2007 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Energy-efficient real-time task scheduling with task rejection
Proceedings of the conference on Design, automation and test in Europe
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Rotation scheduling: a loop pipelining algorithm
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
A unified approach to variable voltage scheduling for nonideal DVS processors
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Overview of the H.264/AVC video coding standard
IEEE Transactions on Circuits and Systems for Video Technology
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Evaluation of dynamic voltage and frequency scaling for stream programs
Proceedings of the 8th ACM International Conference on Computing Frontiers
Review: Energy-aware performance analysis methodologies for HPC architectures-An exploratory study
Journal of Network and Computer Applications
A scalable GPU-based approach to accelerate the multiple-choice knapsack problem
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Hi-index | 0.00 |
In this paper, we combine coarse-grained software pipelining with DVS (Dynamic Voltage/Frequency Scaling) for optimizing energy consumption of stream-based multimedia applications on multi-core embedded systems. By exploiting the potential of multi-core architecture and the characteristic of streaming applications, we propose a two-phase approach to solve the energy minimization problem for periodic dependent tasks on multi-core processors with discrete voltage levels. With our approach, in the first phase, we propose a coarse-grained task-level software pipelining algorithm called RDAG to transform the periodic dependent tasks into a set of independent tasks based on the retiming technique (Leiserson and Saxe, Algorithmica 6:5---35, 1991). In the second phase, we propose two DVS scheduling algorithms for energy minimization. For single-core processors, we propose a pseudo-polynomial algorithm based on dynamic programming that can achieve optimal solution. For multi-core processors, we propose a novel scheduling algorithm called SpringS which works like a spring and can effectively reduce energy consumption by iteratively adjusting task scheduling and voltage selection. We conduct experiments with a set of benchmarks from E3S (Dick 2008) and TGFF ( http://ziyang.ece.northwestern.edu/tgff/ ) based on the power model of the AMD Mobile Athlon4 DVS processor. The experimental results show that our technique can achieve 12.7% energy saving compared with the algorithms in Zhang et al. (2002) on average.